xiaoyangshanren 发表于 2015-10-29 16:54:13

ERROR:Place:1205 - This design contains a global buffer instance

只是做了一个时钟DCM,出现了如图2所示的错误,请各位大神指点下是什么原因啊?
下面是错误:
ERROR:Place:1205 - This design contains a global buffer instance,
   <SER_CLK_GEN0/clkout1_buf>, driving the net, <CLK_62_5M_OBUF>, that is
   driving the following (first 30) non-clock load pins off chip.
   < PIN: CLK_62_5M.O; >
   This design practice, in Spartan-6, can lead to an unroutable situation due
   to limitations in the global routing. If the design does route there may be
   excessive delay or skew on this net. It is recommended to use a Clock
   Forwarding technique to create a reliable and repeatable low skew solution:
   instantiate an ODDR2 component; tie the .D0 pin to Logic1; tie the .D1 pin to
   Logic0; tie the clock net to be forwarded to .C0; tie the inverted clock to
   .C1. If you wish to override this recommendation, you may use the
   CLOCK_DEDICATED_ROUTE constraint (given below) in the .ucf file to demote
   this message to a WARNING and allow your design to continue. Although the net
   may still not route, you will be able to analyze the failure in FPGA_Editor.
   < PIN "SER_CLK_GEN0/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; >
ERROR:Place:1205 - This design contains a global buffer instance,
   <SER_CLK_GEN0/clkout2_buf>, driving the net, <CLK_125M_OBUF>, that is driving
   the following (first 30) non-clock load pins off chip.
   < PIN: CLK_125M.O; >
   This design practice, in Spartan-6, can lead to an unroutable situation due
   to limitations in the global routing. If the design does route there may be
   excessive delay or skew on this net. It is recommended to use a Clock
   Forwarding technique to create a reliable and repeatable low skew solution:
   instantiate an ODDR2 component; tie the .D0 pin to Logic1; tie the .D1 pin to
   Logic0; tie the clock net to be forwarded to .C0; tie the inverted clock to
   .C1. If you wish to override this recommendation, you may use the
   CLOCK_DEDICATED_ROUTE constraint (given below) in the .ucf file to demote
   this message to a WARNING and allow your design to continue. Although the net
   may still not route, you will be able to analyze the failure in FPGA_Editor.
   < PIN "SER_CLK_GEN0/clkout2_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; >
ERROR:Place:1136 - This design contains a global buffer instance,
   <SER_CLK_GEN0/clkout1_buf>, driving the net, <CLK_62_5M_OBUF>, that is
   driving the following (first 30) non-clock load pins.
   < PIN: CLK_62_5M.O; >
   This is not a recommended design practice in Spartan-6 due to limitations in
   the global routing that may cause excessive delay, skew or unroutable
   situations.It is recommended to only use a BUFG resource to drive clock
   loads. If you wish to override this recommendation, you may use the
   CLOCK_DEDICATED_ROUTE constraint (given below) in the .ucf file to demote
   this message to a WARNING and allow your design to continue.
   < PIN "SER_CLK_GEN0/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; >
ERROR:Place:1136 - This design contains a global buffer instance,
   <SER_CLK_GEN0/clkout2_buf>, driving the net, <CLK_125M_OBUF>, that is driving
   the following (first 30) non-clock load pins.
   < PIN: CLK_125M.O; >
   This is not a recommended design practice in Spartan-6 due to limitations in
   the global routing that may cause excessive delay, skew or unroutable
   situations.It is recommended to only use a BUFG resource to drive clock
   loads. If you wish to override this recommendation, you may use the
   CLOCK_DEDICATED_ROUTE constraint (given below) in the .ucf file to demote
   this message to a WARNING and allow your design to continue.
   < PIN "SER_CLK_GEN0/clkout2_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; >
ERROR:Pack:1654 - The timing-driven placement phase encountered an error.
页: [1]
查看完整版本: ERROR:Place:1205 - This design contains a global buffer instance