sme 发表于 2015-7-28 13:24:29

晕死,quartus 15.0总是去找一个工程里没有的sdc文件

本帖最后由 sme 于 2015-7-28 13:27 编辑

一开始工程里添加了这个sdc文件(sme8192_non_gated_mux_clock.sdc),然后电路有些变化,于是删除此sdc,重新添加了一个新的文件(sme8192_non_gated_non_mux_clock.sdc),现在编译时,除了使用这个新的sdc外,还会去找旧的sdc。如果旧的存在,有些约束会被旧的文件代替;如果删除旧的sdc,则提示旧的找不到。

删除旧的sdc文件的提示:
Info (332104): Reading SDC File: '../sme8192_non_gated_non_mux_clock.sdc'
Info (332110): Deriving PLL clocks
Info (332151): Clock uncertainty is not calculated until you update the timing netlist.
Critical Warning (332012): Synopsys Design Constraints File file not found: '../sme8192_non_gated_mux_clock.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.


工程文件只添加了一个sdc:
set_global_assignment -name SDC_FILE ../sme8192_non_gated_non_mux_clock.sdc

将整个工程目录里的文件都搜索了,只有qsf文件指定sdc,请问还有哪里会指定sdc?什么原因会去找工程里没有的sdc?

destiny、 发表于 2015-9-22 08:24:31

你好,这个版本破解的文件是哪几个(包括gcl_afcq.dll)?你破解了能给我发一下吗,灰常感谢!!!1170513392@qq.com

tjuspring001 发表于 2015-9-22 08:36:24

destiny、 发表于 2015-9-22 08:24
你好,这个版本破解的文件是哪几个(包括gcl_afcq.dll)?你破解了能给我发一下吗,灰常感谢!!! ...

现在的破解都没有对IP核的破解,其他的功能可以

destiny、 发表于 2015-9-22 16:44:28

tjuspring001 发表于 2015-9-22 08:36
现在的破解都没有对IP核的破解,其他的功能可以

你好,我正常破解时出来的弹窗显示gcl_facq.dll大小或者版本不匹配、、、、,你能不能把你破解后的gcl_facq.dll文件给我发一下,谢谢!1170513392@qq.com
页: [1]
查看完整版本: 晕死,quartus 15.0总是去找一个工程里没有的sdc文件