hsc0588 发表于 2015-7-11 17:18:52

求解modelsim Altera6.5b 仿真 仿真block diagram/schematic file error

求解modelsim Altera6.5b 仿真 仿真block diagram/schematic file error截图:
data:image/png;base64,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
但是我仿真Verilog 文件就能通过,
小弟愚钝,不止其中奥秘,望大侠些指点一二!!!
仿真Verilog 文件:截图
data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAYoAAAD2CAYAAADI6cAeAAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAADElSURBVHhe7Z17bB3Xfed/fMgNimIjF3k1qZvWJWWbuXUaJ3GbyxbuBrVaUkAluysW+iOhkSCXdrwL3sKRUbd0FHu5sWDF6GWbWLoEYsgtFkauAlkyIDKWu9l1alJrx2s7DiPHIiPnhY1kqy7lrPyQRc7+fucxd+7lPM5cPnTv8PuRzp3HmTlz5sHznd/vzPymbX5+3iPDwYMH6dixY7R79246d+4cnT17lk6fPk0nT56ko1NP0/j4PjrjtVHnJUTtbUSLC0SXeh6N3HknffzKbhocHKTPf/7zdP/995sSAQAAtDrtZpgMC4OkNkl6DgAAgHWAs1BYcbBCYRNUAwAAsk0qofAT/yh9UD/+AAAAQAZJ53qSgRUJGTcJAABAdnEXCqZeJAAAAGSfVEJhgVgAAMD6oSGhAAAAsH6AUAAAAIilIaGwb+j5b+oBAADILKmEwmNlgEgAAMD6wl0ojDLUiwUEAwAAso2zUFhRUIl/lECoH38AAAAgg6QSCjU0ImETVAIAALJNOtcTp6DrCQAAQPZJ15lthkow9ACiAQAAGSdUKB566CE6cOAAHT58mI4ePUrT09MmJ0IYoBYAAJBZQoVix44dtH37dtq6dStt3ryZ8vm8yYkI24FYHgAAkFlSuZ58PeARGbcJAABAdnEXCqMK+MIdAACsL5yFwopD0hfu8L1sAADIFm3z8/N+V/TBgwfp2LFjtHv3bjp37hydPXuWTp8+TSdPnqR/mX6ayuP76FVWhs4NWh8WFok2eh7dOXInfezKbl0IAACATOEuFMdYKMr76N/ZpOjs1MsvGqEYYaH4x3tG9UwAAACZIpVQjLNF8e9sS3SwUAQtChGK/9C+fp+Rvf32281Y63DvvfeaMQAAiKchoQhaFO80QvHV3f+Nnn76aZ0Rw8c+9jEzRk7LNzuyP3wMzdRSvvqP/9OMEf3n//If1XDjxo1qGEZcWStJXB0AACDIRRGK/0v/ld5Pd2ZCKATZJ4+PgxyvJKSBfpOXjeIdbW1rIhZSj7USJQBAa5PqPYrVQhpam7KGWBQ21fOV0UPU9Zuba4YiIrjbBwA0Ew0Jhb0fTtMrkSQEMTfZyfzsIfrMZx6in5nJZkLcTTbV84WRbTT386M1QwFiAQBoJlIJhTTmjYiE5Ve9A2ZMk8qKCBODJhYIod6aCLqdwqyJ1JzcS5s376WTZhIAAFYDd6EwylAvFna8ET7xDU+lhrlsBz3wwA66zEw2G41YE6m4/BY6evQWutxMAgDAauAsFFYUVOIf1byrH3+w9tRYFC/RP3/G9nV8hh6SmSb/oS/LvC/TlJr1Gd+SiV7uZ/QQl/VlWUExRV+2y6Ygqm9CiLMoojq0T+7drKwSlcSSsBaFGhapuFnnFR87SXv9cbMyAAA0SCqhUEMjEjZdPJWo4/k5+p27nlZPUj18G9F9u4yAPH8fvXSdzP9b6uXJy3Y8oJZRaayL7vtnowY1y11GOz57Ix183ORNPU4Hb/ws7UhpugStiaDbSUhtUbAY3HxHDx1gAREROdDzIv3IZCmeOk5X7OO8AzfR/u03E9nxPXBNAQCWRzrXE6eg66mpuPp6+iPTkF/2R9fT1c+/RD+Viatvo0+JQljEerAWxfBBormfakGpX673Orrx4OPKCpl6/CDdeF0w040oiyLOmojk8i7qof20fWORxEi4vlSi63WO5tob6M/EB/W7V9C1wXGVCQAAjZOuM9sMlWDogZNoOHdYM2mWTY2IxA2P0fUPG4uCTY+rTdZSeum6Gw/S41NT9PjBG6kBnfAtCrEmBHEpCXHWRPR7FNdTSVkTW+iIuJ6MYAAAwGoTKhROX7gLKoSLWqwhP3viMXr+xuuUq2kJActDLadHQ+n91G00NzxMc7d9KrwsB6xIPPlrPWooQtCQRXFyLxX3ihNJBOMZuufa4zRX43sCAIDVIVQoUn/hbq14/j66wbqNTOe0TyDvhseup4f/NqRpv2wHfbarutyul7piLArmsj+i66++mq63ypKSepGww5E7bwi1KKzFYder4fIuojuuUXkbN15DD9+wj275XZMHAACriHMIj6NTOoTHGc+EGWeJWVwg+nXP44bvTvpaRAiPoCtJ3qN4vW27H8LDPhp77K/aVN+HaScVYWWtOVNfpo99/Xfo4YRHcGUfw0J4SKNuxeEP/t/xmvEoZBmbv5ohNqRuq1k+ACA7uPdRmEacm/SajxfFERQJQURCEJEQRCAkCUGREOrXvRioTuzPLu89Ddvo14uENNLBJNhl7BAAAJoBZ6FQ7Tj/yLCN755Vknkx+I+hBqyD4LxgsoTNu1j0/u3TFObBcsUKgBWHJEuhfjkAAGgGUgmFSlYsZKYhOB5FUuPfLOKw0tSLRZRIuC4HAABrTQOupyouAgF0o29THK7LLZf9+/ebMQAASMZdKBgrDDJU4w7uJwAAAK1NKqEQVKcz1GEJY2NjZgwAALJFui/cleULd0QdHVowFhaI5Il/eTx29G92qkcus9jPEIc8nSWuItn3Uqlk5jY3xWJx1d1bAIDssKJCIUiDuZ4INritsu8QCQBAGlILxau8tPpmNguFvHBXLxQAAACyRSqhKItFwUt3sFCIRSFC8U5e704Wipv+0w26EAAAAJnCuTNbQmyooR6ooa8wAAAAMkuqp56UOPCPEoiASkAwAAAgu7hbFOZHCYUkO0/wRwAAAGQNd4vCiIEVCJX4x8wGAACQUdK5nkQYTAIAALA+CH3q6cMf/jC99dZb9MYbb9Brr71GL7/8Mv3Su0Q9HntmkfzvUXgLRJe2Ed05cid9/KpuUwoAAIAs4fx4rHy4SB6PtULRzkIhj8cGhWJwcNCUBAAAICukEgr1hTtrUZj3KOqFQtYBAACQHdz7KFgQ7MBP/GNmJ/I/vvWtJQkAAEDz4ywUShBEGGyy8wR/ZCkPlEoqhRGXBwAAoDlI9dSTEgcjEkFxiNKJ4tCQGYvHdTkAAABrz4rFevrYlbV9FB/5/d+n6/7gD+jqq65S03+9a5caBvn7u+5Sw+dfeIEef/JJeva559T0euCd75QjBwAAzU8qoYiLHhslFH/16U+r6T/v71fDIN+amFDDb/zTP4UKxd7NG+mOp8xEgGvveYaO3nK5mVpDTu6lzTcT7Tt6C0Vu3WUZRoQCHf8AgFYgtVBEfY8iTChuLRToQx/+sJqOE4offO979LXx8WiLwrHxbQoc63rHHXeYMQAAaG5WTSj+5vbbqeu3f9tZKOZ+/GPafe+9anoJ9Y2vmb6h5w66Y/9NdGC+RL+7dzNd45sf19I9zxylWyi4nM656cA8la6XIgLLX3sPPaPKfoyKG7eTWZRuuuceOv5wYDvPXEF7pB77iG6++UXq4SX3myJ0uSfZCrrGt4IumuUDAAArSKrO7OVw8BvfWJKWxVN30Itb5mmeRYLbfbr8lqPqy20qHeihO/7hsbrlZP5NtH/PXha+vXTzHT0sMHr+gZ4X6Uckjfx24pl+OaU/C66vt+Pz1HG6Yp9ZVsrdXmSZuZxu2XcPXSvCw/MhEgCALLBqfRTWomg/f15Nf/DKK9UwyE9++EM1XLzkkoYsihr3jsy75g7yuzSksVZ3/mHrddE/KMtBWyNKAKLKDFu/vlxlieyhKwJWTE05IaAzGwDQKqzaU08iFG/yuH3qKU4o5Kmnd3DD2bBQyPQ1D9MN0lDLjKgGvX4939UUcCsFG/io7a6QUNhjBQAAzYyzUDw2rUN4hFkULo/HJglF7OOxUQ12xLTqf3j4hmiLguf/w6N/RiWtKrTXzrz5Gnpxp+7DUERtp77cx4q0cc8Vup9DlrnmRdpZ76qqA53ZAIBWIZVQlEUobKwnXn6Rx63r6eMJQvGZYlENg9i3spctFMxjxY203fRCX3vTTUTHr4ixKKzrSeN3OqtGvuq+sp3ZoUIRdHMFXViMrQs6swEAWcBZKI6KRVHeR/8WDArI45fyeiMhQQGtUJTKZTUdh7yZ3VIv3IUIFQAAZBX3p56MnMjAT/xjZofiIhKC63IAAADWnlSPx6b5wl1a66BlrAnh8lvoKKwJAMA6IVQoHnroITpw4AAdPnyYG8SjND09vcRycNAKAAAAGcC9j8LxC3e2j2LjRunmBgAA0OqkEoo0X7gToSij7wEAAFqeVXvqyQpFoVBQ0wAAAFoT585s1gX1IwKhkp0n+CMAAACyRqqnnpQ4GJEIigN0AgAAsou7RWHUwIqCDCEQAACQfVJZFIJ0aAQFAmIBAADZJqVQeMqyqBcLZyaHqHdsjsZ6h2jSzEoFr982FLFmXB4AAICGSW1RLOdNu7kTM5Tb1GWm0jM2OkOlnX1mqpa4PAAAAI2TXiguFmwxFHMjNBymM3F5AAAAlkVDfRTCIqc0xsVYbxt1F6dpvL+NitPj1N/WRmk8RZOHoi2GuDwAAADLw10ofFXw1D+Lq1gMT3k0UchTaXaCCvkSeZ5HZde2fW6MRinCYojLAwAAsGychUIEQSWjDHbajUkaYguif3yait39ND5dpLY29w7tyT0VGoiyJmLyAAAALJ90ridWBl8sjEoERmPoozKvNFEo0MRsifKFCS6jzHMdEIthZiDamojKAwAAsCK4WxRWGHioxcG6oMwMB07MmJEUTO4pUm5k2EzVEpcHAABgZUhlUSiRsMlMp2b2OFFPt5lIQFkMpfC+jLg8AAAAK0bqzmwlEHo0NcNTZerrK9OUq6+oa5impiIshrg8AAAAK0aoUDh94a5RtQAAANBShArFjh07aPv27bR161bavHkz5fP5JSE7bJBAAAAA2cbd9WSEQQbQCAAAWD+k6swWK8JPZhoAAEC2cRYKKwpWJGqAYAAAQGZJZVGIIChNMMNgcmKSqHdM4j6p0UTmeFnfguG0GlHEJ4d02TVFz3E96+e1EENyvHi/skLoOTLE5QEAVgZ3i8Ik+fHHUzJ3gii3yUw40DWsn64q5PVwNd6Z6CsTlbj8GrqIpnh7rfqKRnmWqH6XnBCBXGmBWYEyQ8+RIS4PALAypLMomKBANCIWK4q587cWxxhPW2qsEbZgauDbTz+vrhGzd6iSgneptrxeLsvmB7cXLFOsJpe7erVMsJzA/qhtx+yfvy4vWLNOHf7+1B+DeqT+3UTT42Z5SYH611t3NfteV085RqouacpMcY5i8wAAK467UPAfpaWtgXcoxN3UXSQa7ycqThP1c3nLdSUNcSM0wHfP6k1xHlZ42hbZxZbLhMznNDvAjRc3Sgpp1LgONm+CZ0l9LHKHKvPr71LFupkocKOXM9vjFYt7TGZdmQMV3k9e3+Oy4ihzGfkSVWNViSVj5ok1E7d/ZZ4n9SnydtUyvN6o3ccA3T28L5I/ZWZEwRuUbeS5TLU9Sbb+vH+DvE/+fKnLoMljJvk4+PXkekzb4xlXJtPQOUo4fwCAlSe1RdHoa9nD3FBJwyaN1oq4krjFnKlrZB/k6UOmJRU3l4iR3HWKQFnmjhDluHWxmxZh4Go5U9ppRriAgoldVV/m8IOOrh9u+Ok4J66z1FOEc/IQN7pb9Ly4/bPI8VTL8MbrX1RX4swNvOuL8FHI/knj79/Fc71l2loVfdu4seZ5Ko8b8ULgWMTRyDla7vkDAKQntVCITvhakUI0xFXSP64blHHT6NS1eW7wSv6dZxRyB8wNj73rlLvcpoQb8ByLjTSYBRaBGRYJCZy4jK/FVpFjzcd5IsmScEDu/MXKUccykHwB4lbbn8/CNTNq5sfRKucIAJBCKOSPuTqaRiMU1lUyEXBFuNx1RsIr5/huOcgRnt5mC+Vt2NGxQMPVxXfr0iD7sPBwm7osVJmBbYgrxtUbsi2n3To9XMYAT1d4WtU7af+SkGPMjW9/SN+E7RsIdf0FIvyKuKtlpC7cqEcJuywXlacIK1No4BytxvkDAMSTzqLgxt0KhAztuCtpw4zbBs1aICr1m0xmJ7es/nxOFZ5WDQ/f6Y7wwJ/P49Pc0KkGqi5Pysvnqw2q7SS2/SgyLi4WqYu1iKQYWU7VSzpTucwHA3WRKnIb6IT0IYioyN35Fh4nSYbI/RM/vWzH1EfyglaW9G34QmWOXago1CPHhoXKbk9cX9Y9KP0p9njYFCzSz+Nt5+QAW6LKrDsPzucoLg8AsCq0zc/P++39wYMH6dixY7R79246d+4cnT17lk6fPk0nT56kx6afpnJ5L51ZJOrcoP9IFxeILuXhnXd+kT5+ZTcNDg6qdYSNGzfy8mUqFKpNpvjMN/Ff+eiJpf70TCENOVsVU4GOWwAAaFUa66PgH5X0LGfTQjq0+/huMpMiwXfC/l0u31UP2E5vAABocZyFwhcHIxAqyQ/QsAAGj9FynzQCAIBmIZVFocXBg0AAAMA6IpVF4ZsSMuChGjXTAAAAskmoUIR94c7iC4QBOgEAANkmVCjCvnBnaZME3xMAAKwbnF1P8jSPVgk1qU0LtifspBOTQ9Q7NkdjvUM1z+ADAABoXlJ1Zge1QqWUhsXciRnKrUh8CgAAAGtFOovCDINiAQAAINuktyhEKBpQibHeNuouTtN4fxsVp8epnwtxCisBAADgouJuUZgfqw9ptWJ4yqOJQp5KsxNUyJdI3sdYjS/WAQAAWFlSWRSWNAKhmaQhtiD6x6ep2N1P49NFtkrQoQ0AAK2Au1AYdQhaFJZk4eijMlsQE4UCTcyWKF+YYIui7IeYBgAA0Lw0ZFE0Stow4wAAAC4+ayoUitnjRD3dZgIAAECz4y4U5p0J++pE8BUK19cphqfK1NdXpimEVgUAgJahIYsi5Xt2AAAAWhhnoRBxkEdaF3lMj0MwAABgPZDaolACYUQCQgEAANnH3aKw4mCGCigFAABknvQWhSRWi0UjGDYBAADIJqksClEEb1GPq0+i6ix3VjHM+ORQW+Tb3nF5zc8kDfWOmXEAAFh7QoUi7gt3QUQstIK4sZphxvvKHpWq31eqIS6vmZmcrJW2+mkAAFgLQoUi7gt3QdLHfErP3FgvWwNiEXCqv7NmC8XPqw9FG5cXio5H1dbWS2z0aObGqFfN09ZIeF3setV52oKRFLJesPwkDvXz8jY2VhuNnsCLigCAtcfZ9cTtlFIGM0gtEo2GGe/aNEIT4ubiNDtQUa4rhTTi/eTnTVA/l6uzYvMi6aPyRIHypQfJfx+wa5im1LydKi5VeF10HKtSvkATU8NqNW3B8LTEs+K6DFYG1DoqzQ5QZdDNlSTleLx9IV+axYuKAICLQurObCUYdbiIRqNhxudOjCpRkTtqERrL3JEK5SaqgQX7ylyuGY/Li6W7h+j4rG+NiJBNHpqhgS26gY6qizA8QjRqRYzXrwxocZG6TBuLQKXuIk9X3KyKgOANVAbdLREAAFhB3IWC1cBaEtze+eNuNBhmXO7Gizn/Lt7eXa8aXZsoN3NC9aUUSiWaOTRJJ2ZypLpVkurSt41yxT1qn8ZGiUbM3X/XppyyBnyLQqWpqtUSh1g0Jsru8JTjOgAAsMK4u57MUEaUSNihmpnEMsKMF7b5y42NjpsxbkO3DKiG3GfyENncuLx4+mhb7jgNVoh6tgzTAI1SJVfdflRdNH20szRDh4aq1oQiICAAANCKpHI9WWFwE4elpA4zznfUIyQdutptU6E8TRe7dd9GXV5bP1E+P0790qEcl5dAd88MTbNEyN37lh6eYSPdxtXF0CX+J9YPa01opO+DfJeVTq36qC4AYD2S2qLgdk4PTUpNyjDjqkPXuGympqbU0PZtBPPEQpma4mGgQzkqL46uYd6GWU7Ggx3IcXXRiOUUYin1lf31dHK0pgAAoAlI1UexXIYRZhwAAFqOVK4nAAAA6w93oUgdrwMAAEAWSG1ReEYwZADtAACA7OMsFEFhCAoExAIAALJNaotikZOIgw0zDgAAINu4WxRGGGRoRUJEQ5BxJ1YjzLiEucB7CQAAsGqkcz0ZkVDJTMt8V1YlzHggzMVFRQTLKUrtCrHW2wMArFtCheKtt95S6e2331bpwoULWhSsSNjEy/IglVi4kxy+u346SHho7+RQ4rH4y+rle61lJEEEJdjfeOBtcL8RD2xzsnZbNR9UsmHRa94eDxwDXn9oaEjXO2Z78WVG10VoOBw6ACDThArFqVOn6OWXX1bpzJkzND8/r0RCWGB1WLBCYVXC5MWRPsx4QvhuMy1vOi/5KBE36OGhvSWcRnwo8Tgm91RoYNaUOUHkf89J3rz2Y1iZfP+VbRvnapqK/WZ9Xlcizer9sYvJ29u1UW7HdOhYfx9mxk0MlJjtxZcZXZfoYwYAWO+ECkVnZye1t7dTR0eHn5QeGHEIJv7vZFE0GmY8Knx3HLGhvRNCicfRty1HxW5TZv84FQKhzJORfTcRYF3eTueGu5KbqB4j5WJbqQiyS+uyrHDoAIBMEyoUGzZsUEkEw4qGqIESCrYoRCDEsnAXCu3ySB1mXIgI3x1HbGjvuFDiSag7dHvHzeuOZueOe1nh0AEAmSZUKEQY6pMVBGVJGJFQQmFSPNbl0UCYcV4qNHx3HLGhvRNCiUciYpcgbixAGi2Mbn3NM3TC3LWLq8kPXs4WxMDMaM0dvfQ/+F/4EyK3F1FmHAiHDgCIIFQoQhFB4IEVCJvSkDrMuCE8fLftmK32eVQ7YKUvIjq0d2Qo8UTsdjh1Fyk3EohGK2HIc9Z1008zfHeu3EamA1xbU3rdYGM/PFJ1Z1UGSlQQa8u0+MMPDlDFurqkDJqouqyitsdElhlbl/hjBgBYv7TNz8/7zf3Bgwfp2LFj9IUvfIHOnTtHv/zlL+mVV16hn/zkJ/T4My/Svn33049f96hjA68oEsNrXvaONtr1xV2U/1A3DQ4O0tmzZ1VZGzdupHK5TAW2Iizy/sQm6XM4sRMRZAEAoEVwtygCKFdTMDkyjDDjAADQcjQgFCnVAQAAQEuTWihEItrs5+1W4GNGAAAAmhtnobCaoPSBf6xOKNEAAACQWdwtCqMM1pqQzmyIBAAAZJ9UFoVKIhJqjsfjnprQ0wAAALJIqj4KEYR2/rHJznNWislVCDPeKOqdArwnsO5opvPewtegxG6rDWDZGDVBLEHTEioUwRhP9uUrJQYyqEtpWJUw442iYic1QXjytUYaJ7dXxleGtd5eEo2c99Xahxa+BoenagNYNkpNEMvVYr1f8ytAqFDYsB3BcasJvkiYcTVPD9aQ6lvZKvFJUXc4gdDYNSGz6+58osKT63V6qVeVJckt1La9u6qWWxu+I6ouSdtrOOy3XKj+egiHbmnovMces7i6xO9DVF0UNefPvcx4Is6REHW9OBDc/+A1H70PjD3fkoIr1V8HS66LGFrgmm9p5M1smx544AHvc5/7nHfixAnv2Wef9b7zne943/zmN7377rvPu+HTBe/UuQve06+e95795XnvuXOceHj6zQvezbf/nbd//35PsGVx0V65XFbzBL5rsC9g+KkwYTIbYbbk5angSRFSdr4063kTBT0UJiZUnjBbylfnByjl9fpBJgpcN1sxLs8fj2XC47urwLIynff8TcbUJXJ7sn/5kh4X6qdjmCgEt81lmuOkkHJi9knVx9Y9cDxrjxXvX6Aucvz9ItV5CWw/ZntxZQqhdVnGcbGkPu9R++BQl6jjaVlal7prR8oMnj8mqcww4s5R7PUSibnm/f0N1jtmH+r2R+9LcDqwHlM/HUWrXPOtyhKL4vbbb/c/XGQ/XiQfLhJYHFVqhEbDjMdSsMH8Ckuiys6dGPXjFsl3MNzhOu40FevbRgU/8F4SBZrwd0jiJuWockTfRsXXJXx7ywn7jXDojZD+vLvVJeXxnDxEM3XfS3lQgmLW3JSu7Dlq/HqpfiuGS6le8zH7IMcsFyi/r1zrwurbOUCVPWZnud6jNFItJ4bsXPPNSY1QSKymD3zgA/T+979fpfe973307ne/my699FKzhDYFLMHxeLSZ1lCY8UbgEzlYzBFruhIkuWVYdfI94Rdmg3VZVthvvtj9dRAOfdXITGj2ZrpeuNG1UZOVqGxzbO4zfM03AzVCIQH9XnvtNT9JUEAJDvj666+rfD4HSh3UwIwLZhDDcsKMS1ur/aCpXH2+tUE0NuoUaHt5sPgFo8LWfAypkbo4hP0OPy4iygkinPFw6A1dL0mE7YNDXVIjZVaOmAnNkUqOXNvL0H2PPUfJ10v08RyvflSMGRs113zMPnRtGVDfgPFh66P+vEv048qRMdpTGVjidWj9a75FCfZRvPrqq96pU6e8l156yZuZmfGmp6e9w4cPe6VSydv2Kd1H8dS/nff+z2vnvWd+qYen3rzgDTn0UQjK59eA/078+lJedTXjH+Uk/kTV/yH+Q+Wb1Mtpv6NZJh9cv7puNWn/ot0Ot+y8VGC5xPpq/6WqR8g6UXVJ3J7Zn2oK+kzDjouwdP/qq19TH+tYVX7W2vX8PCFQlzxfD2obtuD6des2GLo9IarMFHXRqbHjkua8u+yDTqYusfsQXRehWh+d/PWSjgsTvu9M5DlKvl7CyrR/c8FrPliXyH1ggsdSjlfe/v0GkHLr900I378WuuZblDZp4HlnFIuLi6o/4vz586p/4o033lCWhXw7e/7CJbR33/300zcXqb2TdJjxRaLf/JV22rVrF32iZz2HGee7ht4TVPb9tQCA5aDaCok2babBxaVN1MKMK6GwAiEuJ2n0T58+TSdPnqQj33laCcVPXl/U36OQTm1e87J3tNMXHb9HkVXk8VjbR803FsvvpAdgXSJumoCLR1zU+GNqCtqlYQ+mqD4KpSf8f3HRW/Ip1MwzF3xGe2k6PmI60TjhugagUXRfpv1bgkg0D0sej41CnzwRiqpYeJJEKLIuFurxt8AFXJdwPQMAskwKodBJC4WMSyNp8vQAAABABmk/dOgQBdMjjzxCR44coUcffZS+/e1v09TUFD333HNKJaw4aKHQYgEAACDbtD/xxBNk0/T0tEpPPvkkffe736Vnn32WZmZm6Ec/+pFZXAuEHqkZAAAAyCjt//jVffSVr3xFpXvvvZd2795Nd999N42MjNBtt92mgl395V/+pXrMSR50amNp4NHGmFyFMOOqo3kV3/JOwxrWJTaoHAAArCDti47uI2mURCnU+xNGKPSa7jbFqoQZVx3NTfK89RrWRcIzi+uvlDczAABglWiXJ5hc0HevMjQ6YYerhn71XW3XhPetv4uOu6u2r/rrZMMc2zIDYY/9R18d7sxrHpOtDccce4dvwxRz6h0b03UYmjR1dAwz7hJq2RJTTwAASEt76v5opQ6yUroV5aU0iZw63i8vp42raKrx8U/0M9WlfDVCpf7ICU+bu/bIu2puKAcrAypPpdkBqgxKQysRLguUr4tsOaXm7Uy0BCb3VGhg1pQ5QTQdCAQbV5fefvKDAg5UijQu0XPLfbzpKZooTNN0TmJfSZk5KtrImUzXphF/vdmBSm0smRji6gkAAGlpf/1NHULcGdYHJREyTKEVjYYZH5aQH7aB5DvzykBygx4b/rm7h+j4rH+XL2JVE8AvhkZCGdeHVR5+sES1WhId3rrRUOnLC7kMAAC1pHiPYlEJw+ICj3Oyj8i6GRfa5dNQmPFAhM6xUVry3YkwYsM/d22iHDfG0l9SKJVUJMsTMzly6jpZy1DGYhU1GiodIZcBACuIu1Cot7E9WmCRkKRfvGOF0P8TWE6Y8T7aKR89GXKzJhSx4Z/7aFvuOA1WiHq2DNMAjVIlVw0DHo2IXXpfvwqrHGioJ/ewdWPGE2koVHpj9QQAgCichWKRTQmP1UE+dqeEwloVJt8FvolviC7xP3E7WWtNVDu7bZ9HtTNY+iLId9voVG08u3tmuLEeUBbGlh6e0dOtMxKx2+HUXaTciI0WG1OXrmF6cKBi6sBWFRX8L3pJZ7W2sqRuUoaxtsQfxuuN8NJ2vQrlabrYbfp14vZdiKonAACkp+3Umde9d3SeVxMSPVZCjNvosblcTs0vlUr0rSeeob37ynT8lQXqvKSTOjqIOlhmujZ20Je+9CX646vXc5jxFEjn9p5NNIUAUQCAFiHWopC3tIVisajcTMr9tLCo+ymU64kzU5gUwxJfvs/hm7RZI/B4bFt3hQZs5zUAALQAia6nw4cP6xFPFMF0kDr1SwCfYOdyxj/CDgDIHolCsXXrVj3Cd8PyEoW+MzaTAAAAMk+sUOTz+ol/6aNob2eB4NTR0a76J9p5TUmr/4Y2AACAi0msUEgfhXU9tbMqiFh0dnZSRydPi1hwMoYGAACAjJLoerK0syqIWHRuICUU1qpQbiizDAAAgOyRQiisRUEqqcdjOSmVcFWK1Qgz3igqcN76ejFN4m25BBeUAIfxcbiagGY6fy18LbleE0nooJjr6+9pPZFCKKSPompN+BYF57l2bK9KmPFGaabw5GvE8NSE/7JfHBLgcMVe85BGdDVUp5Hz10x1aRJcr4kkdMBOMwEyh7NQiEiwVlQFwiZXa2JFqb6ZrBL/8as7o8DbyXEhuqNCgieF/Y7C3pVVy629I4+qS9L2atZzrItC3eFGrxcs169nzTqSwo6NzasvM3g+etXHrlS+vD8iARnHq2+Yq7fOLTXbdA+H3tD5S6hL9P7ZfeN5k7a+1e1G1UUReR7iy4wn4lgLDR5PIfSaEOKupeD7QcGV7Hx7rddPg9ZD3syen59X6dVXX/VOnTrlvfTSS97MzIw3PT3tHT582CuVSt6Nny54p8697T35b296T82b9Oqb3i9ef9sr7Pw7b//+/Z5gy+KivXK5rOYJfLehX8QIpMKEyWyE2ZKXp4InRUjZ+dKs500U9FCYmFB5wmwpX50foJTX6weZKHDdbMW4PH88lgmP78oCy8p03vM3GVOXyO3J/uVLelyon46kbtuB46TzeHt+OXXL+sj8wLFJqIscf3/X1fbqth9xDCcKwWPE+x7cpgOpz19UXRL2T1Dl2v0KXmeGpXWJOw+apDLDiDvWjR3PuGsiZh/q9kfvS3A6sB5TPw1aC2eLwvZFmIFK+seNRsOMx+IHzSssiSrbaIjuuLDf8RRowt8hiTWVo8oRffsVX5fw7cWGSo9j8hDN1H1v40EJqujf8FW/71Ffzyhi68J3nJXcRPVcKjeM20uFqxMOPf35czvWcu2a/XKJLpB4HoSUZSYc68aPZ8Q1EbMP9eHz+8q1Lqy+nQNUsd9W4XqP0ki1HNByuAuFJYU4VNHmckNhxhuBL8yGQ3Q3Sr4n/I+ywbrEhkpfY1atLk0SDr2ZjvWyaJLjqWBRGZgZVWKrRGWbm2SB5iS9UDTEcsKMS1ur/ac1vtMkGgrRvQxY/IJfoKv5GFIjdYkNla4JPS6yXuWImdAcqeSo+nc6Xv0QFDM26vDRpri6BBoEi/jta77G59/V6xsGXV8Zj79ZaOi8JxFWF4djnZrE8xBP6L7HHuvlHM+IayJmH1T4/KB5xNZH/ZU9PCKWyRjtqQys3MMR4OLg3EcxWPBOvf629+SZN70n/930UfDQtY9CUH5c8W1G+KyjEL++lFddzfhVOYlfV/V/iI9V+WX1ctpnapbJB9evrltN2n9qt8MtOy8VWC6xvrwsb7+mHyawTlRdErdn9qeaqj5gYelx0VTL1cn6vu1xCtbT5tUidandVmxdlL86kFdXoZr997e39DxE7Uft/KXrpTl/4XVhovavft84xe2DrYsQdR7iy9REndsl6/oLNHY8k66JyH1ggsdSjlfelBVEyq3fN9B6xIYZf+211+iVV14hFg76zrPH6f77v0Y/fuMCtXW0KQ8UGwn0W+/ooF27vkT5D63nMON8N9d7gsq+n7fVydr+gIuF+puXqNFmGrQm6V1PLA4sMCQRxtW4munGcEbDjI/12n6XFXaTrCVzwccg++UrUSYDgLRot55cS+rDWi37RwEsqYRCiYL8qMRiYcbV/CxT04guTcdHqp2gLeuLVU/QZGA/QBOg+yTtteThYmp50lkUrAgiDupT2cqkMGRdKeoa0fqEvwMAQJZxFgpuD60hoURCD7mh1NkAAAAySiqhUBaF+QSqTTJP5QEAAMgk6SwKEYoLnBZMspYFhAIAADKLu1CIm4mFYUESi4VKb8t8ydTLJDLZRGHGV5i44HCxgeMAAKDJcRYKecdikcXiAovDBRaJC2xRiGiksSiaKsz4CiNhlqVjuxQSajkuDwAAmp1kofjFI1QqFtlyEKFYZKFY0ELBgiFWhXU/rQ72eeyl4Zj1Xbq5Q68LY6xDFaQPF56EDYEQ3BYAAGSdBKH4OT3y90/QR0ZKSiRELC68/XZVLDi5WhTyzQaJnDreb17C4cY2+T0cGyNqmor9FRqY5TvzCVJxaWo+lKKCoVWjV3YNT6l1pnMSU0rWyVHRRrJcBl2bRvzgfrMDldp4RgAAkFEShOJn9LMXP0jveReLgW9RXFBpQdKFBW40RUCSlWJ5YcZThmNWpA83Hf5iXdUaaTx0OQAAtC4JQvEJ+sPNR+n7LxAtiiBwWmAzQqUFndQde2JvtnYhrVmY8UYJfbHOCNTFCF0OAABNQGIfxUdv3UfvfbSo/EvSQFqBWFhYoMUFbU3I/HiWF2Y8mhk6Ye72VbwlPbp6rHXocgAAaAKSO7PpN+i6onYVyT/99BMnEYrFBW1NOPRRCCdmzIgrxhWkLRHt8gn2C0i8ezu/MlCiglgqQ5Oq01mvI1aLWDPGillOcDK2Nkao+r3lCuVputht+lnqgqCpceuyissDAIDmJyHM+KP0pfxtdJTz/vQvdtD9e/fSd0/O81qd1N7RSR0d7fSR3/o1uuvuu+lPr71yHYcZBwCA7JJgUVxGl12xmYZKJR43ZoMMxIgQd5N1OSW6njTDGQ0zDgAAWcbhqSczGsT/bnZDH9AGAADQQjhYFPqpJ18U2vRQfO6+Tph5AAAAskeCUPwm/cW9+qknpQVGG9ra2pVQaLHgoVoWAABAFnF+6kkkQv1jkWhv52G7iAUnWQRKAQAAmSVRKH7xyO1ULBqLghXBikS7EgxeXVkUUAoAAMgqCUJxjA5+/YM0VBrhcbEm+FdZEh1Vi0I0QqsIAACADOLgehLeZcRAxKJDWRJKKNi6kHkAAACyS4JQyFNPP6GXz4hOiEhwUq4nGTcWhfkHAAAgmyQIhTz1dC9dxwaFRcmCEgkZskDAqAAAgEzj6HoKoFxQAAAA1gsJQvFzeuT2rVRRL9wBAABYjyQIhYTw2Ey/d5UO5yQRnVQUWcfYTgAAAFqfFK4nJRO+YviCYWYBAADIJglC8Qm69YtE5aJ8uIgnrUAYdbD/dCYAAIAskmxRfPRWKqkw4xolDQGxAAAAkG1SdGYby0HEQSUzCwAAQKZx7sxWGHHQA2NVAAAAyDQpOrM1vsvJ1wiIBQAAZBn3zmyDet1O/UAgAABgPZC6M1vezNbvZuMNbQAAWA8kC8UvHqGStSiC2uCPJwtGb++/0pwZJzpDY737aExmzP0r9bbdxdqjU+/Ymbp5B2nSTg/Vvh4+OcTzaso1hJUJAACgYRKE4uf0yN8/QR8ZCVgUCm1VWNsiiQH6AR2xLfrcC1ShD9GWrhdoqPsHNDC7izxP0gDljr9C1PXHNDX7ScrnP0mz3o3UJ+vkf48KM49rcRFYDEZn3kt5M1nD7CuUmwiUWfymWU8EioVHLQQAAMCVBKGQp54+SO8JRI9VKJXgHzedoC0DRJUj5s6eG3IauIq61MR7aJMeYa6ictk+XlXPu2lboIzJPd+m3MCH1PgS+m6kslIX4d3U46vJu2h46io6JJZGmCUCAAAglMTO7D/cfJS+H/D6aH0w//xIsvGK0bXpPTQt1gIzeej7lNskynMVbSt8n/pD3EphdA9fx9bBd5QranTmk7Rzi8mIw7dezLSIkVgaDxINOm4XAADWO+obdXF89NZ99N5Hi+axWP1krNIFtaIWC18voui7igrjL9AknaETM79H28wdf1/ZuIi2vcBlJDXcRli62ZoY+WNjkcRhXFsPhiwr7i1/u3BHAQBAHMmd2fQbdF2xxA167et1TgLhI438y3Riku/wc1fpfocgfTeq/gQtJtH07fwk5akqNNGwSLQ9Tj2zN9NwmKLYDu9DV/F2TT8IAACAUORbpm4ooeB/yqRgRCWUUshQz4qjb9t7qNjP1sA20w8hjXXQgpg7QzP5d1O3mQxFWQIJDbsSgSiREAFhgRgkelAsisg+EQAAABb5+rUZDfK/6K5cjvL5PG3dupWKxaIWCCMWIhBKIoxV4aAT2v0UtAa6rqKBmQqvzw23JOsmkoa++9s0Pf1t6m7ALTR35Ac0Taep2G3K5aQfkZWnnl6gbSIQUy6uKwAAAELby6++4V3S/paaWFxcpPPnz9Mbb/yQ9m77E7r3e0Sbv3iY+n/9JXrkX6ZpX3mcnvnpOdrwK79KnRsuoY6OdvrQezvprru/RH/ykW4aHByks2fPqrI2btxI5XKZCoWCmgYAANCaRHRmf5A+/d9n+K5+mv7wf2uLwqIsCbFDJKkJR4sCAABAS9JefcQ1nI/eergmhIcWiDZq52F7uxEJKAUAAGSWhM5s/T0KsSh0f4SIQzu1d3ASkZDE60MnAAAgu4h9YEaD2M7su4n+WlsUbawKIhQdHR0qtXfwykYooBQAAJBd2lVDH8kMff1mbVGIFdHGytCxoZM6OkUwSCURifgyAAAAtDIRL9z9Ce2a0Z3Zhw9ri6KdTQhxO3V2buCkRUJZFGYNAAAA2SSxM9ui+iU4bdjQQZ3GmpAkq8OiAACA7NLu2sarTmyxKDawQLBFIX0U0pmtXE96EQAAABkkwvW0FBEJ1ZnNa9iObOV6EpWAUgAAQEYh+v8Ykcz1QoduSQAAAABJRU5ErkJggg==

hsc0588 发表于 2015-7-11 17:20:10

截图:

xiaocat85 发表于 2015-7-11 17:35:07

试试把 schmatic转成verilog,然后再仿

hsc0588 发表于 2015-7-13 09:58:04

xiaocat85 发表于 2015-7-11 17:35
试试把 schmatic转成verilog,然后再仿

我也想到了
试过了 好像是可以了 谢谢
页: [1]
查看完整版本: 求解modelsim Altera6.5b 仿真 仿真block diagram/schematic file error