atom100 发表于 2015-3-16 01:22:33

调试DDR2,modelsim仿真对,但altera的signal tap ii显示错?

调试DDR2,modelsim仿真对,但altera的signaltap ii显示错?
是因为 本身数据就是错的,还是 因为 signaltap ii 的原因造成的显示错?

zkf0100007 发表于 2015-3-16 08:41:29

仿真跟实际运行是两码事,把问题描述清楚,软件一般不会有问题,多考虑自己的原因

liyang53719 发表于 2015-3-16 22:29:38

看看你的采样时钟

jm2011 发表于 2015-3-17 10:02:00

使用signaltap ii来调试SDRAM最郁闷了,因为SDRAM的时钟和你的控制器需要一定的相位差
可是signaltap ii一般提供不了那么高的采集时钟,所以出来的信号看的很郁闷哈;

楼主有好的解决办法给说一下;
页: [1]
查看完整版本: 调试DDR2,modelsim仿真对,但altera的signal tap ii显示错?