823032003 发表于 2015-1-17 21:08:21

ispLEVER中 verilog 程序 如何生成框图??

ispLEVER中 verilog 程序 如何生成框图??rt
谢谢

dsew027 发表于 2015-1-17 22:52:28

没用过,为何不用通用的Quartus_II

823032003 发表于 2015-1-18 20:50:50

dsew027 发表于 2015-1-17 22:52
没用过,为何不用通用的Quartus_II

我们用lattice的CPLD
页: [1]
查看完整版本: ispLEVER中 verilog 程序 如何生成框图??