sandgull 发表于 2014-11-12 20:38:05

Modelsim仿真不出现波形,求解?

test bench程序主要部分:
initial                                                
begin                                                
clk=0;
forever
        #10 clk=~clk;                  
end
                                                
initial
begin
ret_n=0;
#1000;
ret_n=1;
#1000;
stop;
end               

Modelsim仿真出错如下:

banye2010 发表于 2014-11-12 21:56:51

改成$stop,好明显的报错信息

sandgull 发表于 2014-11-13 12:18:18

banye2010 发表于 2014-11-12 21:56
改成$stop,好明显的报错信息

谢谢,{:smile:} {:smile:}

steven0419 发表于 2014-12-3 17:12:08

{:biggrin:}{:biggrin:}{:biggrin:}还是自己先好好琢磨琢磨下的好
页: [1]
查看完整版本: Modelsim仿真不出现波形,求解?