RUANJI 发表于 2014-11-12 14:19:00

时序约束set_input_delay选项-source_latency_included的用法

set_input_delay的选项-source_latency_included

如果指定该选项是代表输入延迟已经加上了源延迟还是没有加上源延迟?

altera的帮助手册中有下面的这句话:

Input delays can already include clock source latency. By defaultthe clock source latency of the related clock is added to the input delay value,but when the -source_latency_included option is specified, the clock sourcelatency is not added because it was factored into the input delay value.

再补充一个,下面这段话是啥意思来着?


By default, set_input_delay removes any other input delays to theport except for those with the same -clock, -clock_fall, and -reference_pin combination.Multiple input delays relative to different clocks, clock edges, or referencepins can be specified using the -add_delay option.

lovelorn 发表于 2014-11-12 14:24:37

一直没有用过altera的,一直都在用XILINX。
你现在的时序已经很边缘了吗?

RUANJI 发表于 2014-11-12 14:32:37

lovelorn 发表于 2014-11-12 14:24
一直没有用过altera的,一直都在用XILINX。
你现在的时序已经很边缘了吗?

没有,就是学习一下。

感觉SDC还是通用一些。

RUANJI 发表于 2014-11-12 16:20:27

顶上去一下。没人注意过这个选项么?

RUANJI 发表于 2014-11-12 19:25:46

再顶起来。。。。

RUANJI 发表于 2014-11-16 21:59:16

经过实践,在Timequest里面,-source_latency_included选项竟然没有效果???
页: [1]
查看完整版本: 时序约束set_input_delay选项-source_latency_included的用法