qhwxp 发表于 2014-10-12 11:00:08

【求助】quartus ii 11.1 SP2无法调用modelsim10.1a

错误显示check the nativelink log file然后后面跟了一个地址
百度上有人说是modelsim地址不对,我设置的是D:\altera\modelsim\win32这个地址应该不会有错啊。
各位大神!!求助啊!!

linread 发表于 2014-10-12 12:31:50

把原来的地址删掉,手动指向有modelsim文件夹,自动生成的地址有bug

qhwxp 发表于 2014-10-12 13:20:35

linread 发表于 2014-10-12 12:31
把原来的地址删掉,手动指向有modelsim文件夹,自动生成的地址有bug

我这个地址就是手动添加的

linread 发表于 2014-10-12 14:02:34

我的是D:/altera/13.1/modelsim_ase/win32aloem,没有问题

linread 发表于 2014-10-12 14:04:28

bug就是自动生成的/有问题

qhwxp 发表于 2014-10-12 14:20:31

linread 发表于 2014-10-12 14:04
bug就是自动生成的/有问题

我刚试出来好像门级仿真是可以的,但是RTL级的不行
页: [1]
查看完整版本: 【求助】quartus ii 11.1 SP2无法调用modelsim10.1a