路人甲被注册了 发表于 2014-9-17 13:05:12

ISE中启动modelsim仿真出错。

modelsim综合的时候提示错误:
Error: testbench.V(1): Library unimacro_ver not found.
虽然貌似不影响仿真。
这个错误怎么消?
页: [1]
查看完整版本: ISE中启动modelsim仿真出错。