atom100 发表于 2014-9-15 00:24:25

谁用了altera的 ddr2控制器HPC II?说明与生成的例子不一致

本帖最后由 atom100 于 2014-9-15 00:44 编辑

谁用了altera的 ddr2控制器HPC II?说明与 自己带的生成的例子不一致,
例子中的信号名称不带avl,而说明中带avl

tangkuan660 发表于 2014-9-15 10:28:46

话说我用了近2年的这个DDR2控制器,还真没注意有没有带avl,估计带avl的意思是采用avalon总线?楼主不用太在乎这个了。

atom100 发表于 2014-9-15 22:00:41

本帖最后由 atom100 于 2014-9-15 22:18 编辑

感谢回复
嗯,原来调通了 hpc 的 half模式,想用hpc ii,搞了 有一周,也没搞通,
又回来用hpc了,
请教,
hpc 的full模式 这张 图 对吗 ?




我怎么总感觉地址的变化 应该改成 如下图 这样呢,







因为local_size是2,burst length = 4,full模式下一个时钟传2 * dq总线宽度的数据,需要2个时钟周期,才能传送4个dq总线宽的数据,这样 符合一次 burst 发送4个dq总线宽的数据。
local数据宽为2个dq总线宽的数据, local address不应该是 间隔加2吗?    就是2 、3传送4个dq总线宽数据 ;4、5 传4个送4个dq总线宽 数据,等等,   正好可以实现dq总线端 一次突发是4个数据

是 altera的图有问题,数据AABB 的位置和地址也没有 对上啊,还是我的理解有问题 ???

atom100 发表于 2014-9-15 22:13:47

tangkuan660 发表于 2014-9-15 10:28
话说我用了近2年的这个DDR2控制器,还真没注意有没有带avl,估计带avl的意思是采用avalon总线?楼主不用太 ...

看 quartus ii 自动生成的例子,就没看懂,
local_init_down 、local_ready都是高电平准备好的状态,但没搞清楚burst_begin 、local_read_req 、local_write_req这几个信号的关系,
一直跳不通,,不知道什么原因,我用full模式,wirte和read的波形 和hpc ii 的 说明书的 图中完全一致,
但是 突发 写入4个数据后,再读出来 的数据是全0 或全f,不知道什么原因?
请教下 这几个信号 如何配合使用 ?

ccrt 发表于 2014-9-15 22:20:39

atom100 发表于 2014-9-15 22:00
感谢回复
嗯,原来调通了 hpc 的 half模式,想用hpc ii,搞了 有一周,也没搞通,
又回来用hpc了,


你的理解没有错,看错图了呗
NONCONSECUTIVE
页: [1]
查看完整版本: 谁用了altera的 ddr2控制器HPC II?说明与生成的例子不一致