xhudiao 发表于 2014-7-24 15:49:13

QII中的Timequest报警问题

最近做一个项目,但是FPGA经验不多,于是就出现了如下问题:(用的是QII12.1+ModelSim-Altera-Start-Edition10.1b)
设计中使用了异步复位信号rst_n
always @(posedge clk_50MHz or negedge rst_n)

在sdc设置了“set_false_path -from ”,但是Timequest还是提示Unconstrained Clocks 1,并且中途有警告说rst_n被判定为时钟,但未约束。

望明白的老师指点指点,谢谢
页: [1]
查看完整版本: QII中的Timequest报警问题