cxhy 发表于 2014-7-13 16:26:46

FPGA开发经验整理之verilog中的参数化传递

Define parameter localparam的区别

define:
可以跨模块的定义;
parameter:
本module内有效的定义,可用于参数传递;
localparam:
localparam cannot be used within the module port parameter list。module内有效的定义,不可用于参数传递

全频阻塞干扰 发表于 2014-7-14 00:19:16

一般状态机用 localparam
页: [1]
查看完整版本: FPGA开发经验整理之verilog中的参数化传递