baojiaqi 发表于 2014-6-11 22:13:41

verilog中给存储器数组赋值,综合总有错误,请教一下大家

这是出现错误的代码:
regrow ; //定义一元数组存储要显示的信息
row="一二啊2011081102";
row="一二啊2011081102";
row="一二啊2011081102";
row="一二啊2011081102";
reg state;

ix1982 发表于 2014-6-11 22:20:06

你是想要rom 还是ram?

aammoo 发表于 2014-6-11 22:38:43

是你的中文综合不了{:titter:}

eva015401 发表于 2014-6-12 00:19:30

写这么底层的东西你居然把中文写进去了……

mubei 发表于 2014-6-12 00:38:51

麻烦问下楼主,门电路怎么处理中文?看来你还是不了解FPGA的硬件底层结构

eva015401 发表于 2014-6-12 06:50:12

mubei 发表于 2014-6-12 00:38
麻烦问下楼主,门电路怎么处理中文?看来你还是不了解FPGA的硬件底层结构

把FPGA当做单片机来写

baojiaqi 发表于 2014-6-12 10:02:04

ix1982 发表于 2014-6-11 22:20
你是想要rom 还是ram?

就是在FPGA内部定义一个一维的存储器,包含4个变量row其中每个元素为128位的reg型变量,应该是rom吧

bailangcn 发表于 2014-6-12 10:06:03

撸主,你这样子折腾有意思吗

baojiaqi 发表于 2014-6-12 10:13:21

mubei 发表于 2014-6-12 00:38
麻烦问下楼主,门电路怎么处理中文?看来你还是不了解FPGA的硬件底层结构

请问一下,可以详细的说明一下为什么不行啊,之前我定义单个的寄存器变量是可以写中文的,我是这样写的reg ROW1_DATA="一二三2011081102";我是想把它显示在12864液晶上。这样写和定义成存储器数组再赋值有什么不同啊

baojiaqi 发表于 2014-6-12 10:14:55

bailangcn 发表于 2014-6-12 10:06
撸主,你这样子折腾有意思吗

见笑了大虾,我是个菜鸟。。。。

baojiaqi 发表于 2014-6-12 11:21:58

eva015401 发表于 2014-6-12 00:19
写这么底层的东西你居然把中文写进去了……

我将存储的内容全部改成数字了还是综合不了。。。row="1234562011081102";……

baojiaqi 发表于 2014-6-12 11:23:08

aammoo 发表于 2014-6-11 22:38
是你的中文综合不了

我改成数字了,同样还是综合不了啊。。。。应该不是中文的原因

eva015401 发表于 2014-6-12 11:26:53

baojiaqi 发表于 2014-6-12 11:21
我将存储的内容全部改成数字了还是综合不了。。。row="1234562011081102";…… ...

{:sweat:} 你还是先去搞清楚FPGA/CPLD是什么器件再来写”程序“吧。

你把数组里改成只有0和1试试,不行就是你verilog语法问题

ix1982 发表于 2014-6-12 12:35:28

wire row0, row1, row2, row3; //定义一元数组存储要显示的信息
assign row0="一二啊2011081102";
assign row1="一二啊2011081102";
assign row2="一二啊2011081102";
assign row3="一二啊2011081102";

ix1982 发表于 2014-6-12 12:37:49

楼主先看看quartus 里面的template是怎么写的把,或者用mega function generate rom/ram

baojiaqi 发表于 2014-6-12 14:16:45

ix1982 发表于 2014-6-12 12:35
wire row0, row1, row2, row3; //定义一元数组存储要显示的信息
assign row0="一二啊2011081102";
...

太谢谢啦你的方法解决了我的问题!!那之前我那样写为什么不行呢

biansf2001 发表于 2014-6-12 15:56:30

baojiaqi 发表于 2014-6-12 14:16
太谢谢啦你的方法解决了我的问题!!那之前我那样写为什么不行呢

你没有在always里面,也没有parameter,也没有init,咋会对呢
页: [1]
查看完整版本: verilog中给存储器数组赋值,综合总有错误,请教一下大家