1105284241 发表于 2014-5-19 13:26:54

全部身家64块,求二进制的转换电路,最好纯数字电路。

全部身家64块,求二进制的转换电路,最好纯数字电路,单片机最小系统做出来也行。

只有这么多钱了,0表示低电平,1表示高电平5V,5V供电。四进三出,输入会随时变化的,转换速度最好快点。

0000        101
1000        101
1100        101
0100        100
0110        100
1110        110
1010        110
0010        110
0011        010
1011        010
1111        010
0111        011
1101        011
0101        001
1001        001
0001        001

左边四个输入,右边3个输出。

babysnail 发表于 2014-5-19 13:37:07

做个查个表不就得了。 这么简单莫元你自己留着花吧。

aammoo 发表于 2014-5-19 13:37:20

把真值表列出来,自己搭个电路吧

SXH201312 发表于 2014-5-19 13:40:03

画卡诺图{:lol:}

qlb1234 发表于 2014-5-19 13:44:54

找到了你2009年的帖。
http://www.amobbs.com/thread-3639055-1-1.html
你不是攪技術的吧。這裏是討論技術的。做大生意,上淘寶甚麼的會更有效。如果你是攪技術的,這五年來你亦太不長進了。

waothom 发表于 2014-5-19 13:26:55

卡诺图和化简表达式/A 表示 A非
        00        01        11        10        CD
00        1                        1       
01        1                        1       
11        1                        1       
10        1                        1       
AB                                       
Q1 = /D                               
                                       
        00        01        11        10        CD
00                        1        1       
01                        1               
11                1        1        1       
10                        1        1       
AB                                       
Q2 = C(D+A +/A/B)+/ABD                                       
                                       
        00        01        11        10        CD
00        1        1                       
01                1        1               
11        1        1                       
10        1        1                       
AB                                       
Q2 = /C(D+A +/A/B)+ABD       

waothom 发表于 2014-5-19 14:33:39

卡诺图表格上次就乱掉了

alias 发表于 2014-5-19 14:41:22

一个 2716 UVEPROM 就成了,其它 PROM 也可。

zxq6 发表于 2014-5-19 14:48:51

cpld最直接

wjjcyy 发表于 2014-5-19 15:02:51

还是单片机查表直接点吧!

y595906642 发表于 2014-5-19 15:08:27

一看就像课程设计的说 我来回答一下吧

entity conv_4_3 is
    Port ( Data_In : inSTD_LOGIC_VECTOR (3 downto 0);
         Data_Out : outSTD_LOGIC_VECTOR (2 downto 0));
end conv_4_3;

architecture Behavioral of conv_4_3 is

begin

process(Data_In)
begin
        case Data_In is
                when "0000" => Data_Out <= "101";
                when "1000" => Data_Out <= "101";
                when "1100" => Data_Out <= "101";
               
                when "0100" => Data_Out <= "100";
                when "0110" => Data_Out <= "100";
               
                when "1110" => Data_Out <= "110";
                when "1010" => Data_Out <= "110";
                when "0010" => Data_Out <= "110";
               
                when "0011" => Data_Out <= "010";
                when "1011" => Data_Out <= "010";
                when "1111" => Data_Out <= "010";
               
                when "0111" => Data_Out <= "011";
                when "1101" => Data_Out <= "011";
               
                when "0101" => Data_Out <= "001";
                when "1001" => Data_Out <= "001";
                when "0001" => Data_Out <= "001";
               
                when others => null;
        end case;
end process;

end Behavioral;

综合出来的结果是一个prom
因为序列的左边相当于地址
右边相当于存储的数据
一块CPLD搞定

fsclub 发表于 2014-5-19 15:10:40

就用过MCU,查表解决,又快又简单省钱。

sjx000000 发表于 2014-5-19 15:11:49

直接CPLD 就很简单。如果对速度没要求。直接 用单片机就可以了

偏偏倒倒 发表于 2014-5-19 17:06:06

我猜楼主的输入是顺序变化的,不然没必要使用格雷码。

1105284241 发表于 2014-5-19 19:18:59

其实对专家来说很简单的问题而已,还是得到这么多人的支持和建议,非常谢谢阅读和回复的各位。术业有专攻,不懂很正常,可能有人有意见,我也不知道是不是那个答案就最好,起码很 认真回答。

1105284241 发表于 2014-5-19 19:34:58

偏偏倒倒 发表于 2014-5-19 17:06
我猜楼主的输入是顺序变化的,不然没必要使用格雷码。

格雷码{:lol:} 什么东西来的,听名字就觉得特别牛的样子。
我还是自己百度吧。谢谢。

张小盒 发表于 2014-5-19 20:07:36

waothom 发表于 2014-5-19 13:26
卡诺图和化简表达式/A 表示 A非
        00        01        11        10        CD
00        1                        1       


NB,数字电路都忘光了,现在动不动就查表,MCU!

1105284241 发表于 2014-5-19 20:38:04

Q1 = ‘D      Q2 =B'C+ABD+AC+CD      Q3=A'BD+B'C'+AC'   请教对不对。

偏偏倒倒 发表于 2014-5-19 21:09:01

1105284241 发表于 2014-5-19 19:34
格雷码 什么东西来的,听名字就觉得特别牛的样子。
我还是自己百度吧。谢谢。 ...

你看下你的四位码个三位码的排列顺序。
相邻的两个码字之间只有一位不同。
就是这个意思。

waothom 发表于 2014-5-22 08:10:51

1105284241 发表于 2014-5-19 20:38
Q1 = ‘D      Q2 =B'C+ABD+AC+CD      Q3=A'BD+B'C'+AC'   请教对不对。

表达式你可以自己代值进去验证一下

waothom 发表于 2014-5-22 08:16:46

张小盒 发表于 2014-5-19 20:07
NB,数字电路都忘光了,现在动不动就查表,MCU!

有些还是有必要用门电路来实现,要看响应速度!其实我也很多都忘了,只是有时动下手,动下脑就都记起来了,毕竟以前有那个知识在,用MCU固然简便

hz_fujian 发表于 2014-5-22 10:12:14

直接用块ROM,输入做地址,输出做书数据即可。
页: [1]
查看完整版本: 全部身家64块,求二进制的转换电路,最好纯数字电路。