fangying712 发表于 2014-5-6 23:18:16

FPGA 和 NIOS2 之间可以通过一块RAM交互数据么?

本帖最后由 fangying712 于 2014-5-7 13:24 编辑

        请问NIOS2 大神们,有让FPGA 和 NIOS2 之间可以通过一块RAM交互数据的方法么?

        NIOS2 <---> RAM <---> FPGA

aureole 发表于 2014-5-6 23:20:54

方法总是有的

fangying712 发表于 2014-5-6 23:48:26

我在Altera的中文论坛看到这样一个帖子: http://www.alteraforum.com.cn/showtopic-6079.aspx 但是还是不算特别理解

zkf0100007 发表于 2014-5-7 00:20:04

弄个双口RAM就行

zxq6 发表于 2014-5-7 07:10:20

干嘛不用avalon总线呢

fangying712 发表于 2014-5-7 11:40:59

本帖最后由 fangying712 于 2014-5-7 11:43 编辑

zkf0100007 发表于 2014-5-7 00:20
弄个双口RAM就行

我在NIOS2中使用了一个双口RAM,把S1端口挂载NIOS2内部, S2端口 export出来了。然后再到 fpga 的top level 可以看到 s2端口的信号以及时钟等。 那么请问我的FPGA端,应该如何对 s2进行读写呢?是直接读写还是 要按照 avalon MM 时序标准来做?

fangying712 发表于 2014-5-7 11:42:38

zxq6 发表于 2014-5-7 07:10
干嘛不用avalon总线呢

我 要在 FPGA内部ram2 和 nios2内部的一块 ram1之间进行块数据传递,请问这样用 avalon怎么做?
NIOS2 (ram1) <--> FPGA(on chip ram2)

time_machine 发表于 2014-5-7 23:14:43

当然可以,很多异构多核处理器就是这么干的

zkf0100007 发表于 2014-5-8 08:15:37

fangying712 发表于 2014-5-7 11:40 我在NIOS2中使用了一个双口RAM,把S1端口挂载NIOS2内部, S2端口 export出来了。然后再到 fpga 的top l ...

FPGA端怎么方便怎么来呗,只要能读写RAM就行

fangying712 发表于 2014-5-11 11:22:44

多谢,实验了一下,证明上面的方式是可以的。要注意write写使能信号是 ‘1’有效!!!!

蓝色风暴@FPGA 发表于 2014-5-12 19:15:34

干嘛要把s2端口export出去呢?直接把你要写RAM的哪个模块封装成Avalon接口挂总线上不就行了

fangying712 发表于 2014-5-13 21:01:52

本帖最后由 fangying712 于 2014-5-13 21:07 编辑

蓝色风暴@FPGA 发表于 2014-5-12 19:15
干嘛要把s2端口export出去呢?直接把你要写RAM的哪个模块封装成Avalon接口挂总线上不就行了 ...

{:sweat:} 不太会封装,直接export了。。。求指大神指导封装方式

wangpengcheng 发表于 2014-5-13 22:29:59

可以做双口RAM啊!
页: [1]
查看完整版本: FPGA 和 NIOS2 之间可以通过一块RAM交互数据么?