mrf245 发表于 2014-1-3 14:09:42

modelsim10.1a如何进行手动波形编辑

正在学用modelsim10.1做功能仿真。之前用test bench文件做激励,感觉比较麻烦,原因是有些输入量需要在某些不确定时间(比如脉冲捕获)输入变化电平,这样情况用手工编写激励方法显然太麻烦。以前用quartus自带的仿真器,可以很简单在WMF文件内编辑波形,然后进行仿真,不知这个操作在modelsim里是怎么操作的。放狗了一下没找到有用信息。
在我的仿真运行界面,有波形编辑选项,但是灰色不可用的,是不是有地方没设置对?

jay007 发表于 2014-2-19 14:49:42

用force

zhangfeng0115 发表于 2014-9-14 18:21:25

同问,,,,,,,,,,,,,,,,,,

zhangfeng0115 发表于 2014-9-17 19:45:58

我也不知道那,求解

powerplj 发表于 2014-9-17 20:01:51

force命令
页: [1]
查看完整版本: modelsim10.1a如何进行手动波形编辑