243404121 发表于 2013-11-24 12:55:12

基于vhdl任意波形发生器程序,频率相位幅度可调,vhdl程序

本帖最后由 243404121 于 2013-11-24 12:59 编辑

正弦波,三角波,锯齿波,递增波,递减波,方波,幅度,相位,频率可调,串口显示波形,逻辑分析仪显示波形

http://item.taobao.com/item.htm?spm=686.1000925.1000774.23.2L0WaY&id=35361319623
页: [1]
查看完整版本: 基于vhdl任意波形发生器程序,频率相位幅度可调,vhdl程序