yanghuanchun 发表于 2013-10-9 21:12:20

求指点迷津:如何将一路CP信号和一路方向信号处理成类似...

现在我有一路CP脉冲信号和一路方向信号(高低电平),如何将他们处理成类似于差分编码器A+,A-,B+,B-的信号?如何实现A+,A-,B+,B-在换向的时候滞后90度相位的问题?可以使用FPGA EP1C3144C6,或者数字电路也可以,求大神指点迷津

myxiaonia 发表于 2013-10-9 22:32:31

AB信号4倍频就是这个方向脉冲信号

yanghuanchun 发表于 2013-10-10 08:43:18

myxiaonia 发表于 2013-10-9 22:32 static/image/common/back.gif
AB信号4倍频就是这个方向脉冲信号

我可能没有表达清楚自己的意思,我不是想鉴相,我是想把一个CP信号和一个方向信号通过处理,使它们变成类似于差分编码器的A+A-B+B-的形式,想请教 如何处理这个相位的问题

usingavr 发表于 2013-10-10 08:51:57

直接用反向器,或者用FPGA的LVDS IO

金牛AKI 发表于 2013-10-10 09:21:33

同问帮顶

qq302011 发表于 2013-10-10 09:23:19

这个不好做,我也考虑过

myxiaonia 发表于 2013-10-10 10:10:06

yanghuanchun 发表于 2013-10-10 08:43 static/image/common/back.gif
我可能没有表达清楚自己的意思,我不是想鉴相,我是想把一个CP信号和一个方向信号通过处理,使它们变成类 ...

差分不是问题,你的问题是想把方向+脉冲转换成AB编码,这个才是重点,是这样吗?

myxiaonia 发表于 2013-10-10 10:16:21

还有,不知道你为何要用ab脉冲,需要使用模拟的ab脉冲做些事情???
一般使用中,都是希望把ab脉冲转换成方向+脉冲信号,这样处理起来才方便,而编码器输出的是ab信号,那是因为实现方便

yanghuanchun 发表于 2013-10-10 19:07:20

myxiaonia 发表于 2013-10-10 10:16 static/image/common/back.gif
还有,不知道你为何要用ab脉冲,需要使用模拟的ab脉冲做些事情???
一般使用中,都是希望把ab脉冲转换成 ...

嗯,是这个意思,我们用的电机控制器只可以接入类似于编码器的信号,脉冲+方向的不可以,很奇葩的想法...

myxiaonia 发表于 2013-10-11 07:45:44

yanghuanchun 发表于 2013-10-10 19:07 static/image/common/back.gif
嗯,是这个意思,我们用的电机控制器只可以接入类似于编码器的信号,脉冲+方向的不可以,很奇葩的想法... ...

估计很难搞很少有人有这样的需求网上也找不到内容
你那个奇葩的驱动器也许还有内容你没看到啊
页: [1]
查看完整版本: 求指点迷津:如何将一路CP信号和一路方向信号处理成类似...