wangjun403 发表于 2013-8-12 22:52:09

FPGA AES加密,有几个问题

本帖最后由 wangjun403 于 2013-8-12 23:11 编辑

打算在usb接口和IDE接口硬盘件插入一个AES加密模块

看了一些AES的资料,实现AES模块本身有了点思路,但大的框架还搞不清楚


1. 128bit的AES,16个字节的数据才能处理一下,所以不可能利用USB的读写信号有效时间去插入这个操作?

2. AES模块,需要对两边的数据进行缓冲吗?如果要,多大合适

3. 做这个东西难度系数有多大?

希望大家指点下,如果搞定了,会在坛里放出源码

zjykwym 发表于 2013-8-13 01:01:40

有专门的asic的方案,何必。。。。

wangjun403 发表于 2013-8-13 09:17:21

zjykwym 发表于 2013-8-13 01:01 static/image/common/back.gif
有专门的asic的方案,何必。。。。

你的逻辑不我敢苟同!

你的事别的工程师也可以做,你何必来做工程师呢?

有了github,为什么oschina和csdn还要来搞呢?就连京东也想来试试

苹果都有IOS了,google又何必搞个andriod呢?

zjykwym 发表于 2013-8-13 10:32:57

wangjun403 发表于 2013-8-13 09:17 static/image/common/back.gif
你的逻辑不我敢苟同!

你的事别的工程师也可以做,你何必来做工程师呢?


如果你玩玩当然无所谓

mcuprogram 发表于 2013-8-13 13:19:31

有必要搞這麼複雜。 簡單的認證不可以嗎

STM32_Study 发表于 2013-8-13 13:23:37

wangjun403 发表于 2013-8-13 09:17 static/image/common/back.gif
你的逻辑不我敢苟同!

你的事别的工程师也可以做,你何必来做工程师呢?


话虽然是这么说

不过至少要比现有的产品有优势才有意义吧

感觉目前你还没深入了解这个领域

所以我也是建议你先用现成的IC试试,然后再来谈创新

wangjun403 发表于 2013-8-13 14:14:28

要是深入了解,我也不会来问大家了

普莱斯队长 发表于 2013-8-15 12:09:52

官网这种东西都有源码,我当时做过一个DES就是用官网的例子改的
页: [1]
查看完整版本: FPGA AES加密,有几个问题