haizheng4 发表于 2013-6-19 20:09:55

求助VHDL SIGNAL全局变量 初始化问题

本帖最后由 haizheng4 于 2013-6-19 20:20 编辑

        SIGNAL motor1_status : BIT :='0';
BEGIN
        PROCESS(motor1_stall)
        BEGIN
                IF(motor1_stall='1') THEN
                        motor1_status <= '1';
                END IF;
SIGNAL motor1_status : BIT :='0'; 解决了,可以

页: [1]
查看完整版本: 求助VHDL SIGNAL全局变量 初始化问题