bob_lee 发表于 2013-6-12 14:24:14

三段式状态机疑问,请各位高人指点小弟下!

请教各位一个问题,三段式状态机最后一个block里面为什么所有资料都用next_state而不用current_state啊?貌似很多书上都没说明过。
比如下面的(来自网上一个被很多次转载的文章):

//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器
always @ (posedge clk or negedge rst_n)    //异步复位
if(!rst_n)
   current_state <= IDLE;
else
   current_state <= next_state;   //注意,使用的是非阻塞赋值

//第二个进程,组合逻辑always模块,描述状态转移条件判断
always @ (current_state)      //电平触发
begin
    next_state = x;//要初始化,使得系统复位后能进入正确的状态
    case(current_state)
    S1: if(...)
       next_state = S2;         //阻塞赋值
    ...
    endcase
end

//第三个进程,同步时序always模块,格式化描述次态寄存器输出
always @ (posedge clk or negedge rst_n)
...//初始化
case(next_state)
S1:
   out1 <= 1'b1;                //注意是非阻塞逻辑
S2:
   out2 <= 1'b1;
default:...      //default的作用是免除综合工具综合出锁存器
endcase
end

如果我这个状态机里面有个计数器,等计数器达到条件了(从0开始),才条状到下个状态,否则保持这个状态。那计数器cnt应该放在哪个block吗、里面呢,感觉如果放在最后一个里面,如果用next_stat初始值就不对, 很多资料说状态机时候,都是当外部条件变化来跳转,像我这样由内部条件(比如计数器)变化来跳转的很少有提到。
小弟才接触FPGA,还请各位多多指教!

深海烟花 发表于 2013-6-12 23:35:59

可以放在第二段,这个貌似是控制状态转移的......

wangjun403 发表于 2013-6-13 08:55:25

next_state在时间上提前于current_state
如果用非阻塞赋值,输出的结果又要和current_state同步,所以只好用next_state作为条件

wangjun403 发表于 2013-6-13 08:56:50

我现在对三段式状态机还有一个疑问

三段式状态机也是要条件转移的,如果用计数器作为条件
那么在next_state还是current_state去增加计数呢

浮云残雪 发表于 2013-6-25 15:49:53

因为用current_state的话有一个时钟周期的延时
页: [1]
查看完整版本: 三段式状态机疑问,请各位高人指点小弟下!