inspiriting 发表于 2013-6-5 10:30:59

Quatrus11.0编译工程后可以实现RTL Viewer,却不能实现Technolo...

本帖最后由 inspiriting 于 2013-6-5 10:34 编辑

Quatrus11.0编译工程后可以实现RTL Viewer,却不能实现Technology Map Viewer ,弹出对话框显示Technology Map Viewer Preprocess was not successful

信息窗口下的错误提示如下:
Error: The selected device family cannot be found
Error: Quartus II Netlist Viewers Preprocess was unsuccessful. 1 error, 0 warnings
        Error: Peak virtual memory: 165 megabytes
        Error: Processing ended: Wed Jun 05 10:26:01 2013
        Error: Elapsed time: 00:00:00
        Error: Total CPU time (on all processors): 00:00:00

重建工程也还是这个样子,这个工程使用的是MAX II CPLD,打开别的FPGA工程可以正常实现Technology Map Viewer。
哪位知道的坛友指点一下,非常感激!

alphalovelife 发表于 2013-6-6 00:30:31

The selected device family cannot be found
确定你的Quartus II 11.0 安装的对应的MAX II 器件 并选中

inspiriting 发表于 2013-6-7 16:15:44


已经安装好,并且选择了型号。

inspiriting 发表于 2013-6-14 16:09:43

此问题还没找到原因,软件都卸载重装两次了,很郁闷,期待网友指点!不胜感激!!

kebaojun305 发表于 2013-6-14 16:11:23

感觉是器件库安装的问题。

inspiriting 发表于 2013-6-14 16:21:31

重新安装了两次了还是老样子

inspiriting 发表于 2013-6-14 16:26:58

使用cyclone II的芯片是好的,这次用的max ii就出现这种情况了,器件库确定是安装好的,难道工程哪里设置不对?

逍遥慨 发表于 2013-7-26 13:06:03

我也出现过这个问题,求解。

wangjun403 发表于 2013-7-26 14:15:51

貌似maxii就不行

inspiriting 发表于 2013-7-26 16:09:43

wangjun403 发表于 2013-7-26 14:15 static/image/common/back.gif
貌似maxii就不行

嗯,是的,在9.0下就可以,11.0 MAX II不行

inspiriting 发表于 2013-7-26 16:10:30

inspiriting 发表于 2013-7-26 16:09 static/image/common/back.gif
嗯,是的,在9.0下就可以,11.0 MAX II不行

不知道为什么,一直没找到原因,哪位坛友知道,回复一下,谢谢。
页: [1]
查看完整版本: Quatrus11.0编译工程后可以实现RTL Viewer,却不能实现Technolo...