sasinop 发表于 2013-5-10 06:59:23

modelsim 6.5 读txt 文件 有问题

sasinop 发表于 2013-5-10 06:59:59

本来用的是ISE 自带的仿真,读不了

于是换了modelsim 6.5 ,还是读不了

readmemh("RxdMem.txt",RxdMem) ;
    $readmemh("TxdMem.txt",TxdMem) ;
    RxdData(9) ;

ISE的时候,文件路径加了“/”

其他都部分都一样,,这是啥原因呢?

sme 发表于 2013-5-10 12:03:43

1. 你的txt文件是什么内容?贴几行上来看看。
2. modelsim仿真的时候的相对路径,是以你跑仿真的目录为当前目录,不知道是不是没有找到txt文件?你可以把它拷贝到你的仿真目录试试。另外,可以写上绝对路径。

7802848 发表于 2013-5-10 12:39:24

读的 不对哦

sasinop 发表于 2013-5-10 19:52:27

仿真文件,TXT文件都在一个目录

页: [1]
查看完整版本: modelsim 6.5 读txt 文件 有问题