he33504898 发表于 2013-4-18 20:47:29

fpga内部ram进行乒乓操作的问题

最近做ram的乒乓操作,使用一个计数器的高位对ram进行乒乓选择,遇到的问题:

(1)先要将一个ram1写满了才能写ram2,读ram1,在初始时候要延时一会,让ram1可靠的写入数据才开始读,这样做不知道是不是有问题。
(2)我ram两边采用不同频率的时钟,怎么控制当写满的ram被读完了再发起下一个写操作呢,保证数据不溢出,丢失。

请大家给点意见,谢谢

wildone 发表于 2013-4-19 10:28:22

1、初始化时,你可以先读RAM2,里面的数据空的而已。等RAM1写满来,就反转状态
2、RAM读取的速度要快于写,就能保证数据不漏

he33504898 发表于 2013-4-19 12:20:05

嗯 谢谢你的回复,但是我读的速度小于了写的速度是否可行呢?

wildone 发表于 2013-4-19 17:01:20

he33504898 发表于 2013-4-19 12:20 static/image/common/back.gif
嗯 谢谢你的回复,但是我读的速度小于了写的速度是否可行呢?

主要看你两片RAM的切换速度,要有足够的时间来读取,再切换

huatong 发表于 2013-4-19 23:59:37

ram1满后再写ram2就失去了乒乓操作的意义了。

huatong 发表于 2013-4-20 00:01:42

按我对楼主描述的理解,你干脆做2个FIF0串联在一起算了。

he33504898 发表于 2013-4-24 12:54:01

huatong 发表于 2013-4-20 00:01 static/image/common/back.gif
按我对楼主描述的理解,你干脆做2个FIF0串联在一起算了。

前两天有点事情,没有调这个,首先感谢回答,请问huatong我写满了一个ram1后读ram1,同时写ram2这也是符合乒乓操作的啊,因为数据要保证不能有错误,才要它写满再读,你说的两个FIFO不能完成数据的组合的呀,因为FIFO只能依次写入,依次输出啊,请huatong指点下对乒乓操作的数据不丢失的方法,感谢
页: [1]
查看完整版本: fpga内部ram进行乒乓操作的问题