scutfanyu 发表于 2013-3-31 23:00:22

cyclone iv最高时钟频率

刚才想查看cyclone iv工作的最高频率,看了它的datasheet,然后看到了上图,那这个和它的工作频率是不是直接相关的哇?也就是它的最共时钟频率就是标的2.5GHZ 3.125GHZ啊?。。。。。。。如果不是,有没人用作cyclone iv哇?知不知道它的最高时钟频率

aammoo 发表于 2013-3-31 23:06:46

收发器是内嵌的独立的硬件,其他逻辑单元跑不了那么快

scutfanyu 发表于 2013-3-31 23:18:21

aammoo 发表于 2013-3-31 23:06 static/image/common/back.gif
收发器是内嵌的独立的硬件,其他逻辑单元跑不了那么快

哦~~~那怎么查哇,我看了好久都没找到

sky5566 发表于 2013-3-31 23:24:41



    可编程逻辑芯片 FPGA CYCLONE IV 10K LE 256FBGA
    宏单元数: 10320
    系列类型: Cyclone IV
    封装类型: BGA
    针脚数: 256
    速度级数: 8
    总比特数: 414Kbit
    输入/输出数: 179
    时钟管理: PLL
    Core Supply Voltage Min: 1.15V
    Core Supply Voltage Max: 1.25V
    输入/输出电源电压: 3.6V
    最高工作频率: 402MHz
    ��作温度敏: 0°C
    工作温度最高: 85°C
    工作温度范围: 0°C 到 +85°C
    核心供电电压: 1.15V 到 1.25V
    系列: Cyclone IV
    表面安装器件: SMD
    逻辑功能号: FPGA

scutfanyu 发表于 2013-3-31 23:35:53

sky5566 发表于 2013-3-31 23:24 static/image/common/back.gif
可编程逻辑芯片 FPGA CYCLONE IV 10K LE 256FBGA
    宏单元数: 10320
    系列类型: Cyclone IV


哇!!!!!!!!!!!超级无敌感谢你哇

sky5566 发表于 2013-3-31 23:48:34

本帖最后由 sky5566 于 2013-3-31 23:56 编辑

scutfanyu 发表于 2013-3-31 23:35 static/image/common/back.gif
哇!!!!!!!!!!!超级无敌感谢你哇

没有那么高啦~~ALTERA 自古以来都灌水严重,如果能上 200MHZ就好
ALTERA 对速度非常敏感,所以资料手册模糊带过,怕影响卖点......最后ALTERA再补上"时序约束"必须完美才行

简单的说法就是永远达不到....全部推给"时序约束"{:curse:}

Cyclone IV Device Handbook
Support for DDR2 SDRAM interfaces up to 200 MHz如果能上 402MHZ..就能跑 DDR3了{:titter:}

shappy 发表于 2013-7-18 13:09:02

cyclone iii 飙到500MHz的飘过……

hp.zhu 发表于 2013-7-18 13:12:18

工作温度范围: 0°C 到 +85°C?
晕倒?

banye2010 发表于 2013-7-18 13:12:43

有C6,C8不同的速度等级

mcuprogram 发表于 2013-8-8 17:34:56

怎么具体搞时序约束
页: [1]
查看完整版本: cyclone iv最高时钟频率