低调的激情 发表于 2013-3-25 11:51:20

ram仿真求助

一个非常简单的ram,我想做一次读写实验,可是波形一直不对,求高手帮忙看一下那里出错了! 谢谢
代码:
module ram(clk,data, addr, ram_sel_ena, rd, wr );
inout data;
input addr;
input clk;
input ram_sel_ena;
input rd, wr;
reg my_ram ;
assign data = (ram_sel_ena && rd) ?my_ram : 8'hzz;
always @(posedge clk)
begin
if(wr)
my_ram<=data;
end
endmodule
波形:(读的时候一直读不出数据,不知道是不是根本就没有写进去呢)
页: [1]
查看完整版本: ram仿真求助