yzm1311881 发表于 2013-3-21 22:33:23

fpga中的lvds实现

请教各位大侠,我想实现一个简单的实验,手头上有黑金开发板,现在想实现LVDS发送功能,能不能用QUARTUSii中的LVDS_TX的模块,如果能用怎么设置呢,在网上找了好几天,没有对应的教程
我手头上还有一个LVDS发射芯片DS90C31,能不能自己编写程序,用FPGA实现接收功能,如果可以程序应该怎么编写呢?

study_yu 发表于 2013-3-22 08:48:23

首先看你选用的器件、原理图的设计,支不支持lvds电平。
如果需要从数据里面提取时钟,看你的器件里面是否有serdes,如果是随路时钟,则不需要

yzm1311881 发表于 2013-3-22 11:13:00

study_yu 发表于 2013-3-22 08:48 static/image/common/back.gif
首先看你选用的器件、原理图的设计,支不支持lvds电平。
如果需要从数据里面提取时钟,看你的器件里面是否 ...

1.我买的黑金开发板是四代的,应该有LVDS功能吧,我是看见管脚上面支持LVDS,但是不知道发送的时候是不是也需要设置管脚成LVDS模式?
2.我打开MegaWizard中的LVDS_TX但是不会设置,如图

我想设置一个8位转1位的发送模块,然后再用1位转8位的接收模块把数据接收回来,首先发送模块上面的时钟应该怎么设置呢?接收的也不会设置,大侠能不能讲一个详细点的流程,网上确实没有这个呀
3.我不太明白一点LVDS里面需要时钟么?我看到ds90c31的真值表如下图,其中是不是en和en*就是实际的电路,如果上面的不好实现,我能不能在网上找一个并转串的程序,然后通过这个芯片,然后再传回到FPGA,那FPGA中,接收模块是怎么具体设置的呢?

study_yu 发表于 2013-5-26 12:36:03

我使用的是xilinx器件,它的引脚设置可以在ucf文件里配置
页: [1]
查看完整版本: fpga中的lvds实现