jm2011 发表于 2012-11-29 09:37:49

信号上升沿的判断

我们要对状态机信号cur_status == 4'b1000的状态进行判断;波形如下:


方案A:
assign sign1 = (cur_status==4'b1000) ? 1: 0;
always @(posedge clk_i)
       sign1_delay <= sign1;
assign rise = sign1 & !sign1_delay;

方案B:
always @(posedge clk_i)
       if (cur_status==4'b1000)            sign1 <= 1;
       else sign1 <= 0;
always @(posedge clk_i)
       sign1_delay <= sign1
assign rise = sign1 & !sign1_delay;

这两种方案那个好点?

Flyback 发表于 2012-11-29 14:45:26

fpga菜鸟,帮顶,是我会用B

jm2011 发表于 2012-11-30 11:09:21

B比A多延时一个节拍,不过参考代码中大多数都是用B方案的;

浮云残雪 发表于 2012-12-1 09:32:34

恩恩。是这么个意思。就是为了信号稳定
页: [1]
查看完整版本: 信号上升沿的判断