热带鱼工作室 发表于 2012-11-22 21:14:39

于VHDL编译出错的问题,求解答呀

老是说can't infer register for "up7" ,because it does not hold its value outside the clock edge
页: [1]
查看完整版本: 于VHDL编译出错的问题,求解答呀