german010 发表于 2012-11-7 16:09:13

FPGA中某个信号如1m时钟 和 10m时钟正在工作中,能否切换?

FPGA中某个信号如1m时钟 和 某个信号clk_trig_r,如下所示
always @(posedge clk_100m or negedge rst_n)
   if(!rst_n)
             trig_cnt <=25'd0;
          else if(trig_cnt<25'd3)
          begin
             trig_cnt <=trig_cnt+25'd1;
                  clk_trig_r =1'b1;
               end
          else if(beginflg)
          begin
                  clk_trig_r =1'b0;
                  if(trig_cnt<25'd15000)                  
                trig_cnt <=trig_cnt+25'd1;
                  else                   
                     trig_cnt <= 25'd0;
               end
之间,能否在FPGA正在工作的过程中,进行切换?
               







时钟正在工作中,能否切换?

mamin506 发表于 2012-11-7 17:07:14

两个Active的时钟源之间是可以进行切换的。

german010 发表于 2012-11-16 17:38:03

thank you      {:smile:}{:smile:}

qinxg 发表于 2012-11-16 17:44:41

这个要看FPGA有没有时钟选择模块.利用其内部的时钟选择模块才能保证时钟的稳定性.

german010 发表于 2012-11-17 09:33:01

to qinxg,
哦,我还想直接将PLL出来的两个时钟1m和100m的直接切换呢,看来是不是会不稳定,
我用cyclone iv的,里面有时钟选择模块吗,我开开始用,还不太了解
页: [1]
查看完整版本: FPGA中某个信号如1m时钟 和 10m时钟正在工作中,能否切换?