wangweicai231 发表于 2012-10-29 20:04:55

FPGA不接时钟产生正弦波吗?

FPGA不外接信号源可以产生正弦波吗?

tiancaigao7 发表于 2012-10-30 07:18:30

不接时钟如何产生时钟信号?理论上说只能产生组合逻辑。不能产生时序电路。

sx881011 发表于 2012-10-30 12:43:12

在输出的时候加上电容可以产生类似于正弦波的波形

幸福的鱼 发表于 2012-10-30 13:46:51

同意2楼观点,不接时钟无法完成时序功能,产生正弦波需要时序控制ROM产生

wangweicai231 发表于 2012-11-1 19:39:48

tiancaigao7 发表于 2012-10-30 07:18 static/image/common/back.gif
不接时钟如何产生时钟信号?理论上说只能产生组合逻辑。不能产生时序电路。 ...

FPGA上面不是有晶振吗,为什么不能用它当时钟呢???

tiancaigao7 发表于 2012-11-1 20:23:55

wangweicai231 发表于 2012-11-1 19:39 static/image/common/back.gif
FPGA上面不是有晶振吗,为什么不能用它当时钟呢???

FPGA里面什么时候又晶振了??新产品?型号爆出来。

wangweicai231 发表于 2012-11-1 20:35:06

tiancaigao7 发表于 2012-11-1 20:23 static/image/common/back.gif
FPGA里面什么时候又晶振了??新产品?型号爆出来。

FPGA的开发板上不是有一个晶振吗?

tiancaigao7 发表于 2012-11-1 20:47:03

wangweicai231 发表于 2012-11-1 20:35 static/image/common/back.gif
FPGA的开发板上不是有一个晶振吗?

你把那个算成内置的信号源?楼主如果不是真的大白,那就是故意抬杠的。鉴定完毕。不在回复了。

hhxb 发表于 2012-11-1 23:32:57

楼主这水平{:titter:}笑而不语

sky5566 发表于 2012-11-2 07:30:49

tiancaigao7 发表于 2012-11-1 20:47 static/image/common/back.gif
你把那个算成内置的信号源?楼主如果不是真的大白,那就是故意抬杠的。鉴定完毕。不在回复了。 ...

~~{:titter:} .....
插 AC220 就有正弦波,為什麼要用 FPGA?{:cry:}

camp 发表于 2012-11-8 23:50:46

sky5566 发表于 2012-11-2 07:30 static/image/common/back.gif
~~ .....
插 AC220 就有正弦波,為什麼要用 FPGA?

高手终于冒泡了。。。。。膜拜中...
页: [1]
查看完整版本: FPGA不接时钟产生正弦波吗?