wangweicai231 发表于 2012-10-20 15:26:17

FPGA仿真图

用FPGA仿真时,波形图是不是输出和输入间有延迟呀,一般延迟10ns左右!
页: [1]
查看完整版本: FPGA仿真图