zxqsd 发表于 2012-9-22 10:09:30

xilinx 中single port ram 问题求助!!谢谢大家了


本人用的zynq的开发板。在使用IP中的BRAM的single port ram 时,总是读不到输出端口spo的数据。程序如下:(只是简单的读取功能)
always @ (posedge clk0)
if((x_cnt - 387) == a)
    sin_x <= 1'b1;
else sin_x <= 1'b0;

always @ (posedge clk0)
if(!valid)
    a <= 1;
else if(sin_x && ((y_cnt-331) == spo))
    begin
          sin_a <= 1'b1;
          a <= a + 1'b1;
       end
else
   sin_a <= 1'b0;

求助
页: [1]
查看完整版本: xilinx 中single port ram 问题求助!!谢谢大家了