xuzhengan123 发表于 2012-9-17 17:32:42

SPI配置AD的问题

在写FPGA驱动控制AD9629的verilog程序,很困惑。
AD9629的管脚:

SCLK---->SPI时钟
SDIO---->SPI的数据输入和输出
CSB----->SPI使能信号

D0-D11----->AD输出的12位数字信号

我原以为FPGA通过SPI配置AD时,通过SDIO写数据到AD,从而完成对AD的配置,那么SDIO的输出(即从AD输入到FPGA)是做什么用呢?
看了很多关于SPI的文章,都说SPI是通过移位寄存器传输数据,数据从主机进入从机,然后从从机进入主机,那这个数据传过来传过去不是一样的吗?
对于FPGA通过SPI配置AD的原理,本人相当困惑,期望大家能扫清我的困惑,谢谢了!

wangshaosh123 发表于 2012-9-17 17:37:36

说明他不是一个标准的SPI接口了标准的SPI是全双工的
MISO 和 MOSI 被复用了   这样只能发送和接收不是同一时间进行的了
比如你要先发送命令然后继续产生时钟去接收数据

kebaojun305 发表于 2012-9-17 18:06:21

2楼已经说了   标准的SPI 是全双工的发送数据的同时可以同时接收数据   而你这个数据的发送和接收是一根线那就同一个时刻只能发送数据或者接收数据了用verilog描述也不难了。这种SPI接口还是有好多IC使用的。看IC的时序图式最好的说明。

xuzhengan123 发表于 2012-9-17 20:02:13

wangshaosh123 发表于 2012-9-17 17:37 static/image/common/back.gif
说明他不是一个标准的SPI接口了标准的SPI是全双工的
MISO 和 MOSI 被复用了   这样只能发送和接收不是同 ...

我想问的是AD发送到FPGA的数据是什么呢?做什么用呢?

kebaojun305 发表于 2012-9-18 08:49:04

xuzhengan123 发表于 2012-9-17 20:02 static/image/common/back.gif
我想问的是AD发送到FPGA的数据是什么呢?做什么用呢?

看数据手册

philoman 发表于 2012-9-18 11:49:22

返回某些信息, 比如: 芯片ID\速度等级\状态寄存器值

p.nicholas 发表于 2012-9-18 13:33:01

xuzhengan123 发表于 2012-9-17 20:02 static/image/common/back.gif
我想问的是AD发送到FPGA的数据是什么呢?做什么用呢?

楼上说的很明白,返回相关设置信息,看设置的对不对,作为feedback用

datasheet里已经说的很明白了

zbb528528 发表于 2012-9-23 10:20:09

{:lol:}mark...
页: [1]
查看完整版本: SPI配置AD的问题