litaohqqt 发表于 2012-9-6 10:36:47

请教 FPGA 内部建立读总线问题

FPGA内部不可以用高阻,所以建立两条总线,一条写,一条读。
写总线配合片选信号, 可以挂很多模块。
问题读总线,怎么用啊?   
页: [1]
查看完整版本: 请教 FPGA 内部建立读总线问题