orange-208 发表于 2012-8-14 16:53:30

Quartus II 出现如下警告,如何解决?

Critical Warning (332012): Synopsys Design Constraints File file not found: 'test_module.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Critical Warning (332012): Synopsys Design Constraints File file not found: 'test_module.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Critical Warning (332148): Timing requirements not met
Critical Warning (332148): Timing requirements not met
Critical Warning (332148): Timing requirements not met

各位留步,谢了!

orange-208 发表于 2012-8-14 16:54:08

顶起!   

usingavr 发表于 2012-8-14 16:56:05

字面意思是缺少约束文件,但我从来没遇到过这个。看是不是时序设计方面的设置问题

玉草夕林 发表于 2012-8-15 09:52:26

是缺少时序约束的.sdc文件,可以新建一个Synopsys Design Constraints File~

orange-208 发表于 2012-8-15 10:10:03

玉草夕林 发表于 2012-8-15 09:52 static/image/common/back.gif
是缺少时序约束的.sdc文件,可以新建一个Synopsys Design Constraints File~

是不是通过这个建立??

mysunmax 发表于 2012-8-15 10:27:18

orange-208 发表于 2012-8-15 10:10 static/image/common/back.gif
是不是通过这个建立??

你是啥版本quartus?

orange-208 发表于 2012-8-15 11:12:31

mysunmax 发表于 2012-8-15 10:27 static/image/common/back.gif
你是啥版本quartus?

12.0+SP1      

honeybear 发表于 2012-8-15 13:21:36

timequest时序分析工具,必须要建立一个.SDC文件,以前的版本(9.0以前)是用Classic Timing做时序分析的,所以你以前没有发现!

honeybear 发表于 2012-8-15 13:22:39

orange-208 发表于 2012-8-15 10:10 static/image/common/back.gif
是不是通过这个建立??

是通过这里建立的!

玉草夕林 发表于 2012-8-15 16:15:44

可以通过GUI,也可以直接ctrl+N,新建一个Synopsys Design Constraints File文件,跟新建一个verilog或VHDL文件一样!对这个东东还是没参透,呵呵~

hj_zhang 发表于 2012-10-4 22:39:46

请问楼主解决没?我也遇到了一样的问题,求助。。。

dandelion 发表于 2013-8-7 15:13:02

楼主搞定没?我也遇到同样的问题了,求楼主帮助!!!

dytdyt2010 发表于 2013-8-11 22:20:59

test_module.sdc'新建一个 吧~

snailmoving 发表于 2014-9-8 14:52:47

没解决,求教

honeybear 发表于 2014-9-8 15:48:43

QUARTUS的新版软件都是Timequest进行时序分析的,需要用到.sdc文件,好像是从10.0开始吧,添加.SDC文件就可以了

peinsc 发表于 2014-10-21 10:59:33

同问,求解

guaiguaima 发表于 2014-10-21 16:29:53

自己建立个后缀为.sdc的文件保存,在菜单里选择这个文件后再编译就可以了

guaiguaima 发表于 2014-10-21 16:37:44

建立保存好.sdc文件,通过选择assignments菜单中settings,在settings左侧中找到timeQuest Timing Analyzer,再在右边的file name选框中选择自己建立好的.sdc文件,选择OK后,重新编译即可。
页: [1]
查看完整版本: Quartus II 出现如下警告,如何解决?