german010 发表于 2012-8-3 10:43:57

quartus ii软件里 mega和LPM的关系?

看到一个介绍modelsim的网页这样描述:
一个工程里面,资源库可以同时有多个,PLD 厂家的仿真库其实可以看成资源
库的一种。我们要建四种库:
(1)lpm,调用了lpm 元件的设计仿真时需要;
(2)altera_mf,调用了Altera 的MegaFunction 的设计的仿真时需要;
( 3 )altera_primitive,调用了Altera 的原语(primitive)的设计的仿真时需要;
(4)元件库,例如cyclone.

其中(1)和(2)是什么关系,看quaruts ii软件通过megawizard 添加fifo,fifo就是LPM中的元件吧,应该是一回 事,
这里为何分开说?

玉草夕林 发表于 2012-8-4 15:06:20

lmp和altera_mf是两个library,在利用megafunctions时,不同的IP属于不同的library!例如altaccumulate运算核属于altera_mf库,而lmp_fifo属于lmp库!个人看法,呵呵~
      

富贵闲人 发表于 2013-2-17 12:51:12

那我使用了lpm_divide时,应该添加lpm库吗?不添加能仿真吗?
页: [1]
查看完整版本: quartus ii软件里 mega和LPM的关系?