shangdawei 发表于 2012-5-14 10:22:35

转发一个 spi master vhdl 实现

本帖最后由 shangdawei 于 2012-5-14 10:33 编辑

http://eewiki.net/display/LOGIC/Serial+Peripheral+Interface+(SPI)+Master+(VHDL)





a typical example of the SPI master integrated into a system






SPI Timing Diagram

vhdl 代码 :

http://eewiki.net/download/attachments/4096096/spi_master.vhd?version=1&modificationDate=1326926219787

页: [1]
查看完整版本: 转发一个 spi master vhdl 实现