ngyg12 发表于 2012-5-9 18:14:44

A3P060 的BANK0上的IO口不能控制?求解答...

小弟刚接触ACTEL的FPGA,感觉不是很好上手,写了一个测试程序:

   

library ieee;
use ieee.std_logic_1164.all;


entity test is
port(
       ina:instd_logic;
       inb:instd_logic;
       outc:out std_logic
      );
end entity test;

architecture behave of test is

begin

outc <= ina and inb;

end architecture behave;


就是实现一个2输入与门的组合逻辑。当把输出的引脚分配到BANK1(33脚)时,outc有输出。但是当把输出分配到BANK0(76脚)时就没有输出了。测试过其他引脚,就BANK1的能用,BANK0不能用。

不知道是什么原因,ACTEL的不同BANK需要怎么联系起来吗?望大虾指教...

wye11083 发表于 2012-5-9 19:41:07

应该是你的VCCOBANK0没加上吧。

ngyg12 发表于 2012-5-14 13:20:47

wye11083 发表于 2012-5-9 19:41 static/image/common/back.gif
应该是你的VCCOBANK0没加上吧。

接上了,查明是VMV没有接上
页: [1]
查看完整版本: A3P060 的BANK0上的IO口不能控制?求解答...