moneyjkmike 发表于 2012-4-15 09:59:05

调用modelsim出现的问题 求解救

请问下 调用modelsim的时候 出现Error: (vsim-3170) Could not find 'F:\CZ_FPGA\add8\simulation\modelsim\work.Files/altera/11.0/modelsim_ae/win32aloem/ vish.exe}'.是什么错误? 我都纠结了两天了 还是没有找出原因 求大神解救呀

wye11083 发表于 2012-4-15 11:52:28

你确定你装好ModelSim AE版了?需要在工程属性里面配置一下仿真器和路径。Quartus我用的时候好象是装完ModelSim AE之后配置一次就不用再配置了。

dds 发表于 2012-4-15 12:10:47

那你就看看那个路径下有没有那个exe,没有那么就是哪里路径设置有问题

moneyjkmike 发表于 2012-4-15 19:39:28

wye11083 发表于 2012-4-15 11:52 static/image/common/back.gif
你确定你装好ModelSim AE版了?需要在工程属性里面配置一下仿真器和路径。Quartus我用的时候好象是装完Mode ...

我用的是altera的那个版本,路径设置对了的呀,就是不知道到为啥出现这问题

moneyjkmike 发表于 2012-4-15 19:40:30

dds 发表于 2012-4-15 12:10 static/image/common/back.gif
那你就看看那个路径下有没有那个exe,没有那么就是哪里路径设置有问题

路径就是设置仿真工具的路径嘛,既然能从内部掉出来 我觉得应该就不是路径的问题吧

dds 发表于 2012-4-15 20:29:01

那这个路径:F:\CZ_FPGA\add8\simulation\modelsim\work.Files/altera/11.0/modelsim_ae/win32aloem/ vish.exe 中有没有vish.exe???

moneyjkmike 发表于 2012-4-15 21:18:58

dds 发表于 2012-4-15 20:29 static/image/common/back.gif
那这个路径:F:\CZ_FPGA\add8\simulation\modelsim\work.Files/altera/11.0/modelsim_ae/win32aloem/ vish. ...

只有一个work文件夹 根本没有work.files这个文件夹 而且我又试了一下以前调试成功的程序,结果报出 Error: (vsim-19) Failed to access library 'work' at "work".

dds 发表于 2012-4-15 21:39:08

安装下面链接说明配置一下看看
http://www.e-works.net.cn/Articles/EDA/Article87623.htm

moneyjkmike 发表于 2012-4-15 21:51:42

dds 发表于 2012-4-15 21:39 static/image/common/back.gif
安装下面链接说明配置一下看看
http://www.e-works.net.cn/Articles/EDA/Article87623.htm

我现在可以确定的确可能是配置出了问题 因为我在modelsim里调用的话 就可以仿 但是我按照你这个锁链做了 一样报找不到vish。exe呀

peixiuhui 发表于 2012-4-16 08:14:54

ModelSim用的是什么版本的啊。最新的应该是ModelSim-Altera 10.0c (Quartus II 11.1) Starter Edition

moneyjkmike 发表于 2012-4-17 10:22:09

peixiuhui 发表于 2012-4-16 08:14 static/image/common/back.gif
ModelSim用的是什么版本的啊。最新的应该是ModelSim-Altera 10.0c (Quartus II 11.1) Starter Edition ...

的确换了10.c就好了 6.6的话 我还是没找到问题

peixiuhui 发表于 2012-4-17 18:39:21

moneyjkmike 发表于 2012-4-17 10:22 static/image/common/back.gif
的确换了10.c就好了 6.6的话 我还是没找到问题

呵……{:smile:}
页: [1]
查看完整版本: 调用modelsim出现的问题 求解救