RUANJI 发表于 2012-1-14 19:58:24

纠结了好久的仿真,输出老是X态,大家帮我看看,我看了好久了。。。

一下是代码

module experiment(clk, clkperiod, clklow, clkout ,rst);

        input clk;
        input rst;
        input clkperiod;
        input clklow;
        output clkout;
       
        reg clkout;
        reg cnt;
       
        always @(posedge clk or posedge rst)
        if(rst)
                begin       
                        cnt <= 8'd0;
                        clkout <= 1'b0;
                end
        else
                begin
                       
                        if(cnt == clklow)
                                begin
                                        clkout <= 1'b1;       
                                        cnt <= cnt + 8'd1;               
                                end
                        else if(cnt == clkperiod)
                                begin
                                        clkout <= 1'b0;
                                        cnt <= 8'd0;
                                end       
                        else
                                cnt <= cnt + 8'd1;
                end
       
endmodule

下面是testbench


`timescale 1 ns/ 100 ps
module experiment_vlg_tst();

reg clk;
reg clklow;
reg clkperiod;
reg rst;
                                          
wire clkout;
                        
experiment i1 (
        .clk(clk),
        .clklow(clklow),
        .clkout(clkout),
        .clkperiod(clkperiod),
        .rst(rst)
);


always #10 clk=~clk;
initial
begin
clk=0;
rst=1;
#100 rst=0;
end
                                                
endmodule

下面是仿真波形

http://cache.amobbs.com/bbs_upload782111/files_50/ourdev_713155NGZ6AP.png
(原文件名:simulation.png)

zhanshenguilai 发表于 2012-1-14 23:10:12

input clkperiod;
input clklow;
是输入,在测试文件中给赋下值

RUANJI 发表于 2012-1-15 13:25:52

回复【1楼】zhanshenguilai
-----------------------------------------------------------------------

谢谢哈,我刚开始写的测试文件中有初始化这两个东西的。

请教你一个问题:在时序电路中是不是最好有个复位来初始化寄存器?否则在仿真测试的时候只会是X态?

zhanshenguilai 发表于 2012-1-15 15:30:05

回复【2楼】RUANJI
-----------------------------------------------------------------------

最好复位一下

redcore 发表于 2012-2-7 10:01:57

原因是你在 testbench 中没有对信号赋初值。
页: [1]
查看完整版本: 纠结了好久的仿真,输出老是X态,大家帮我看看,我看了好久了。。。