zhanshenguilai 发表于 2012-1-6 21:15:07

资料分享:Quartus II 中 LogicLock 的使用,写的很详细

介绍Quartus II 中 LogicLock 的使用,写的很详细,从百度文库找的,标的是 重庆大学通信工程学院通信工程及电子信息工程专业 -EDA课件。个人感觉课件做的很好,和大家分享一下

点击此处下载 ourdev_710892TX3FLT.pdf(文件大小:2.62M) (原文件名:第9章_LogicLock技术.pdf)

taotaoa 发表于 2012-1-6 22:04:56

mark回复【楼主位】zhanshenguilai
-----------------------------------------------------------------------

mark

qbb_1989 发表于 2012-1-7 10:34:04

谢谢楼主~

vesion2012 发表于 2012-1-7 16:08:27

mark. 学习中

hymeng98 发表于 2012-1-10 23:58:48

下来看看 学习下
页: [1]
查看完整版本: 资料分享:Quartus II 中 LogicLock 的使用,写的很详细