tom_2_2 发表于 2011-12-25 21:25:01

请教CPLD读写SRAM的仿真程序如何写

写了一个CPLD读写SRAM的程序 实际测试发现第一个数据老是不对。所以想用MODELsim仿真一下。
但是程序中的 inout mem_data; 这个双向数据总线,还有就是如何用modelsim模拟一个ram的问题。
哪位前辈可以提供简单的实例参考一下。
在此多谢了

tom_2_2 发表于 2011-12-26 11:28:50

奇怪写RAM完成后,然后开始读,头2个数据都是一样的都是地址0处的数据,可是后面都是正常了。然后我读地址1 开头读到的仍然是2个一样的
我现在尝试的时存储器的连续读写(背靠背),有没有谁写过,知道这是怎么回事吗?
页: [1]
查看完整版本: 请教CPLD读写SRAM的仿真程序如何写