517650971 发表于 2011-11-15 13:50:40

流水线乘法器

流水线乘法器是不是就是把两个数字用加法和移位计算出来的方法?
例如4位乘法器a*b   
if(a)
{
    i++;
    x<=x+b;
    b<=b<<1;
}
elseb<=b<<1;

这种算不算流水线方法呢?

shu_biao 发表于 2011-11-15 21:54:40

应该不算吧

freud 发表于 2011-11-16 18:02:34

pineline是建立在clock的基础上的,你这样只是拆分逻辑

517650971 发表于 2011-11-16 18:57:11

回复【2楼】freud
-----------------------------------------------------------------------

具体程序我没复制,现在就只是问一下思路是不是这样的?
页: [1]
查看完整版本: 流水线乘法器