sfp208 发表于 2011-11-7 22:52:52

有些IO引脚不能配置,编译报错

有时候配置引脚的时候,经常会报错,说那个引脚不能用什么什么的,查了一下好像是有些引脚电平约束什么的,不太懂,不知道该怎么弄,请各位帮帮忙,谢谢!!!

sfp208 发表于 2011-11-8 20:19:52

好像我RP不行啊,没人帮帮我吗

g47603690 发表于 2011-11-8 20:21:51

回复【1楼】sfp208
好像我rp不行啊,没人帮帮我吗

-----------------------------------------------------------------------

错误信息是什么,平台是什么,器件是什么。问题都不描述清楚怎么帮你。

mogong 发表于 2011-11-8 20:32:07

同楼上

wswuda2007 发表于 2011-11-9 21:46:09

FPGA 有的引脚是programing pin如NCEO, 其他被系统定义的FPGA引脚也不可以用,
可以在settings-device-pin options-dual_purpose pin 里面更改

sfp208 发表于 2011-11-9 22:42:52

回复【2楼】g47603690
-----------------------------------------------------------------------

哦,我错了,器件是ep3c25,QUARTUS II上的,错误提示如下:

Error: Can't place multiple pins assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
        Info: Pin ASDO is assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
        Info: Pin ~ALTERA_ASDO_DATA1~ is assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
Error: Can't place multiple pins assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
        Info: Pin nCSO is assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
        Info: Pin ~ALTERA_FLASH_nCE_nCSO~ is assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
Error: Can't place multiple pins assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
        Info: Pin DCLK is assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
        Info: Pin ~ALTERA_DCLK~ is assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
Error: Can't place multiple pins assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)
        Info: Pin DATA0 is assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)
        Info: Pin ~ALTERA_DATA0~ is assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)


请大侠帮帮忙

g47603690 发表于 2011-11-10 09:06:37

回复【5楼】sfp208
回复【2楼】g47603690   
-----------------------------------------------------------------------
哦,我错了,器件是ep3c25,quartus ii上的,错误提示如下:
error: can't place multiple pins assigned to pin location pin_12 (iopad_x0_y27_n7)
info: pin asdo is assigned to pin location pin_12 (iopad_x0_y27_n7)
info: pin ~altera_asdo_data1~ is assigned to pin location pin_12 (iopad_x0_y27_n7)
error: can't place multiple pins ......
-----------------------------------------------------------------------

http://www.alteraforum.com/forum/showthread.php?t=2680

sfp208 发表于 2011-11-10 21:00:44

回复【6楼】g47603690
-----------------------------------------------------------------------

谢谢

zidingl1 发表于 2011-11-12 12:41:39

这些引脚是默认在配置FPGA时已使用的,应该禁止这些引脚的使用,
http://cache.amobbs.com/bbs_upload782111/files_47/ourdev_694463TGVICM.gif
dual pin (原文件名:FPGA_pin.gif)
页: [1]
查看完整版本: 有些IO引脚不能配置,编译报错