yuhang 发表于 2011-11-5 22:08:09

怎么能检测一个时钟信号有无?

如果有时钟信号对应输出个1
没有反之输出个0

不知道程序怎么描述

NJ8888 发表于 2011-11-5 22:14:35

这个事不用CPLD,一个可重复触发的单稳态电路可以了。一定要的话就是数字单稳态,前提是你有个工作频率比尼检测频率高两倍以上的时钟

yuhang 发表于 2011-11-5 22:17:25

回复【1楼】888888888888
这个事不用cpld,一个可重复触发的单稳态电路可以了。一定要的话就是数字单稳态,前提是你有个工作频率比尼检测频率高两倍以上的时钟
-----------------------------------------------------------------------


我这个是系统时钟,没有检测频率什么的

NJ8888 发表于 2011-11-5 22:23:49

你要检测系统时钟有没有?那还是用片单稳态电路芯片吧

philoman 发表于 2011-11-6 00:54:48

专用的时钟缓冲/备份芯片可以检测

huayuliang 发表于 2011-11-6 01:22:20

RC电路加个三极管就可以。
逻辑器件的话,可以用 A、/A的关系处理,不过延迟带来的毛刺倒是个问题。
页: [1]
查看完整版本: 怎么能检测一个时钟信号有无?