sunoracle 发表于 2011-10-24 20:34:56

光电编码器,两相之间差90度的脉冲,二分频后,如何让它继续保持90度?

http://cache.amobbs.com/bbs_upload782111/files_47/ourdev_688335BKJM0S.JPG
(原文件名:untitled.JPG)

二分频后,如何让A、B相之间继续保持90度啊?

恳请各位,不吝赐教啊... 叩谢各位...

flyer_4 发表于 2011-10-25 11:01:46

上升沿和下降沿之间的中点处就是90度

lsy_forever 发表于 2011-10-25 12:16:31

既然分频了,频率变低了,对应的角频率也低了,所以同样时间的相差自然小了。没明白楼主要干嘛,慢了之后原来的1/2在新频率的基准下,时间没变,相差相对小了

ProAbojio 发表于 2014-9-28 23:25:35

碰到了同样的问题。我这边要分频得更多几百倍分频,超前判断有问题了。

linjpxt 发表于 2014-9-28 23:38:54

先解码,计数后再分频,然后重新建立正交输出

alias 发表于 2015-1-7 02:16:23

这个有成品卖的,内部电路就不知道了。以下图是输入除6后的输出。

arda 发表于 2015-1-7 02:48:13

本帖最后由 arda 于 2015-1-7 02:52 编辑

VERILOG
2分的例子,就是三个D触发器,更高分频就是增加aoutn和boutn链, 只能做2N的分频。分频高的时候要约束好 a b 链的时序。
always@(negedge ain)
aout <= !aout;
always@(negedge bin)
bout0 <=!bout0;
always@(posedge ain)
bout <= bout0;
页: [1]
查看完整版本: 光电编码器,两相之间差90度的脉冲,二分频后,如何让它继续保持90度?