sfp208 发表于 2011-9-6 11:24:12

求救~~Quartus11.0 内部错误

代码写着写着,然后编译,就出现了以下错误,不知道是代码问题还是软件问题,请大侠帮忙,谢谢:

Internal Error: Sub-system: VRFX, File: /quartus/synth/vrfx/verific/vhdl/vhdlvalue_elab.cpp, Line: 3864
_values && pos < _values->Size()
Stack Trace:
    0x38e90: vrfx_altera_assert + 0x20 (synth_vrfx)
   0x185932: VhdlCompositeValue::SetValueAt + 0x32 (synth_vrfx)
   0x1d6716: VhdlIdRef::AssignPartial + 0x1066 (synth_vrfx)
   0x1d271c: VhdlIdRef::Assign + 0x28c (synth_vrfx)
   0x1d294f: VhdlIndexedName::Assign + 0x10f (synth_vrfx)
   0x1dd331: VhdlSignalAssignmentStatement::Execute + 0x101 (synth_vrfx)
   0x1dc880: VhdlIfStatement::Execute + 0x3b0 (synth_vrfx)
   0x1dd821: VhdlProcessStatement::Execute + 0x1d1 (synth_vrfx)
   0x159b03: VhdlArchitectureBody::Elaborate + 0xd3 (synth_vrfx)
   0x15d93b: VhdlEntityDecl::CoreElaborate + 0x42b (synth_vrfx)
   0x15e00e: VhdlEntityDecl::Elaborate + 0x37e (synth_vrfx)
    0x5169e: VRFX_VERIFIC_VHDL_ELABORATOR::elaborate + 0x1be (synth_vrfx)
    0x4c3c6: VRFX_ELABORATOR::elaborate + 0xc6 (synth_vrfx)
    0x9cb71: SGN_FN_LIB::elaborate + 0x131 (synth_sgn)
    0x9f2fa: SGN_FN_LIB::start_vrf_flow + 0xa (synth_sgn)
    0xa0627: SGN_FN_LIB::start + 0x597 (synth_sgn)
    0x7dd79: SGN_EXTRACTOR::single_module_extraction + 0x149 (synth_sgn)
    0x8264e: SGN_EXTRACTOR::recursive_extraction + 0x15e (synth_sgn)
    0x85d4a: SGN_EXTRACTOR::extract + 0x16a (synth_sgn)
   0xd118: sgn_qic_full + 0x138 (synth_sgn)
   0x39cb: qsyn_execute_sgn + 0xeb (quartus_map)
    0x19f2b: QSYN_FRAMEWORK::execute_core + 0x8b (quartus_map)
    0x1c930: QSYN_FRAMEWORK::execute + 0xa0 (quartus_map)
   0xf211: qexe_get_command_line + 0x1461 (comp_qexe)
    0x116b7: qexe_process_cmdline_arguments + 0x387 (comp_qexe)
    0x117a4: qexe_standard_main + 0x84 (comp_qexe)
    0x17ede: qsyn_main + 0x5e (quartus_map)
   0x1b91: msg_main_thread + 0x11 (CCL_MSG)
   0x1be8: _thr_final_wrapper + 0x8 (ccl_thr)
   0x1b05: msg_thread_wrapper + 0x85 (CCL_MSG)
   0x218a: mem_thread_wrapper + 0x4a (ccl_mem)
    0x28a03: msg_exe_main + 0x63 (CCL_MSG)
    0x1938c: _main + 0x1c (quartus_map)
    0x24ab4: __ftol2 + 0x1ce (quartus_map)
    0x4ed6b: BaseThreadInitThunk + 0x11 (kernel32)
    0x637f4: RtlInitializeExceptionChain + 0xee (ntdll)
    0x637c7: RtlInitializeExceptionChain + 0xc1 (ntdll)

End-trace

Quartus II Version 11.0 Build 157 04/27/2011 SJ Full Version

sfp208 发表于 2011-9-6 23:08:59

没人帮帮我吗~~~~~~~~~~~~~~~~~~~~~~~~
页: [1]
查看完整版本: 求救~~Quartus11.0 内部错误