rkfch 发表于 2011-9-1 15:24:03

关于在Quartus II中将DEV_Clrn选中后,程序中如何处理的问题?

在Quartus II中有一个DEV_CLRN的选项,选中后器件为低电平复位。复位时所有的寄存器清0,但我看好多的例程中这个位都没有选而是在程序中自己判断复位信号。当复位信号发生时,在程序中清零寄存器。并且选中DEV_CLRN的选项后,就不可以在器件的引脚分配时分配这个引脚了。关于这个问题大家一般时怎样处理的呢?
   注:我才开始学CPLD,很多都还比较迷茫,最近一直在啃资料中。

skycomm 发表于 2011-9-2 15:44:24

可以参照这篇文章 http://blog.ednchina.com/ilove314/1915401/message.aspx
页: [1]
查看完整版本: 关于在Quartus II中将DEV_Clrn选中后,程序中如何处理的问题?