xiangxiaowei 发表于 2011-8-31 22:37:15

dcm生成模块有问题,帮忙解决一下吧

今天用dcm生成了一个输出55M时钟的模块dcm.xaw .但是点击view hdl source看不到任何代码,只好生成create schematic symbol .在原理图中可以看到模块,于是添加到原理图中,但是绑定管脚时没有看到dcm的几个引脚,也就是说dcm没有加入工程。但是原理图中确实已经连上了。不晓得这是怎么回事?还有,为何看不到hdl代码

lanliang714 发表于 2011-9-1 22:25:58

回复【楼主位】xiangxiaowei
-----------------------------------------------------------------------

似乎软件bug,是10.1版本的?

删除ISE在工程中生成的有关DCM的文件,重新添加DCM

lions 发表于 2011-9-14 09:49:52

我现在也纠结DCM。

zkf0100007 发表于 2011-9-14 12:53:29

这是 10.1著名的 bug ,明明更改了原理图 ,结果 ISE不接受更新 ,还按着原来的综合 ,你要是 clean一下工程 ,那就更崩溃 , ISE会随便找一个模块做顶层 ,解决办法 ,要么重建工程 ,要么直接更改原理图生成的 . VHF文件

xiangxiaowei 发表于 2011-9-14 18:35:11

问题当天就解决了,上来发一下解决方法。也是从网上搜了很久才搜到的,我生成的模块命名为dcm.dcm,原来ISE默认不让以dcm命名,但是它也不发出任何提示!我*,这软件bug太多了。我随便换了一个命名就好了。唉,bug啊bug,ise用起来很蛋疼

qiezp 发表于 2011-9-15 14:47:35

不要用ip生成方式,直接到手册里面拷贝DCM_BASE到程序里,直接写GENERIC MAP和PORT MAP就可以了。
ise的bug很多,用dsp做加法经常就会出现类似的情况。

ffbiao 发表于 2012-8-15 10:53:57

有没有DCM的破解后的IP核?在寻找中

gnep 发表于 2012-8-16 17:57:52

dcm还需要破解?汗颜!10.1的有bug,估计楼主是蒙对的,好像不是名字的原因,建议大家用的时候最好直接用原语就可以了。
页: [1]
查看完整版本: dcm生成模块有问题,帮忙解决一下吧